基于vhdl電子時鐘設(shè)計_第1頁
已閱讀1頁,還剩36頁未讀, 繼續(xù)免費閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進行舉報或認領(lǐng)

文檔簡介

1、<p><b>  二、試驗項目名稱:</b></p><p>  基于vhdl語言的數(shù)碼管時鐘設(shè)計</p><p><b>  三、實驗?zāi)康模?lt;/b></p><p>  利用FPGA開發(fā)板上的數(shù)碼管,晶振等資源設(shè)計出能夠顯示時、分、 秒的時鐘。

2、 </p><p>  四、實驗內(nèi)容及原理:</p><p><b> ?。ㄒ唬?、綜述</b></p><p>  本實驗?zāi)繕耸抢肍PGA邏輯資源,編程設(shè)計實現(xiàn)一個數(shù)字電子時鐘。實驗環(huán)境為fpga開發(fā)板。電路設(shè)計采用VHDL硬件描述語言編程實現(xiàn),開發(fā)軟件為ise7.1i。</p><p> ?。ǘ?、模塊框架設(shè)計<

3、;/p><p>  計數(shù)時鐘由模為60的秒計數(shù)器模塊、模為60的分計數(shù)模塊、模為24的小時計數(shù)器模塊、此外還有最后的數(shù)碼管顯示模塊。</p><p><b>  綜合計時模塊</b></p><p>  包括計時及進位兩個進程,實現(xiàn)時鐘邏輯功能。</p><p><b>  顯示模塊</b></p

4、><p>  將時鐘的每次變化所對應(yīng)的時間及時輸出到數(shù)碼管上。實質(zhì)為數(shù)碼管譯碼 </p><p><b>  器。 </b></p><p> ?。ㄈHDL編程與仿真:</p><p><b>  1、各個進程模塊 </b></p><p>  以下三個proces

5、s分別為分頻,進位以及計時進程。分頻進程用于統(tǒng)計CLK輸入信號輸出完整的1秒。進位進程控制60進制,60進制和24進制的進位關(guān)系。計時進程用于實現(xiàn)電子時鐘的基本計時功能,即每秒均變化。</p><p>  ---------------------分頻部分-----------------</p><p>  process(clk,reset)</p><p>&

6、lt;b>  begin</b></p><p>  if(reset='0')then</p><p><b>  cnt<=0;</b></p><p>  elsif(clk'event and clk='1')then</p><p>  cnt&l

7、t;=cnt+1;</p><p>  if(cnt=50000000)then ----開發(fā)板晶振50M,統(tǒng)計到此時為1S</p><p><b>  cnt<=0;</b></p><p><b>  end if;</b></p><p><b>  end

8、if;</b></p><p>  end process;</p><p>  ------------------------------------------------</p><p>  進位共包括秒個位向秒十位進位,秒十位向分個位進位,分個位向分十位進位,分十位向時個位進位,時個位向時十位進位。根據(jù)進位規(guī)則則可以實現(xiàn)從0時0分0秒到23時5

9、9分59秒之間任意時刻的顯示。</p><p>  -------------各位的進位標志--------------------------</p><p>  process(clk,reset)</p><p><b>  begin</b></p><p>  if reset='0'then&

10、lt;/p><p>  jinwei<="000000";</p><p>  elsif clk'event and clk='1'then</p><p>  if dataout_buf(0)=9 then</p><p>  jinwei(0)<='1';

11、 -----9S時向秒十位進位------</p><p><b>  else</b></p><p>  jinwei(0)<='0';</p><p><b>  end if;</b></p><p>  if(jinwei(0)='1'and d

12、ataout_buf(1)=5)then</p><p>  jinwei(1)<='1'; ------59S時向分個位進位-------</p><p><b>  else</b></p><p>  jinwei(1)<='0';</p><p&g

13、t;<b>  end if; </b></p><p>  if(jinwei(1)='1' and dataout_buf(3)=9)then</p><p>  jinwei(2)<='1'; -----9分且秒十位進位時向分十位進位</p><p><b>  

14、else</b></p><p>  jinwei(2)<='0';</p><p><b>  end if; </b></p><p>  if(jinwei(2)='1' and dataout_buf(4)=5)then</p><p>  jinwei(3)&l

15、t;='1';-----分十位為5且分個位進位時向時個位進位</p><p><b>  else</b></p><p>  jinwei(3)<='0';</p><p><b>  end if; </b></p><p>  if(jinwei(3)=

16、'1' and dataout_buf(6)=9)then</p><p>  jinwei(4)<='1';----時個位為9且分十位進位時向時十位進位</p><p><b>  else</b></p><p>  jinwei(4)<='0';</p><

17、p>  end if; </p><p>  if(jinwei(4)='1' and dataout_buf(6)=2 and dataout_buf(7)=1)then</p><p>  jinwei(5)<='1';----到12時且時個位進位時標記最高標記位</p><p><b>  else

18、</b></p><p>  jinwei(5)<='0';</p><p><b>  end if; </b></p><p><b>  end if;</b></p><p>  end process;</p><p>  ---

19、------------------------------------------------</p><p>  計數(shù)進程,其進程邏輯上受分頻輸出與進位控制,當(dāng)分頻輸出變化(即每秒),秒個位自加,當(dāng)滿足進位條件時,調(diào)用進位規(guī)則,進行時間的跳轉(zhuǎn)。</p><p>  --------------------計數(shù)---------------------</p><p&

20、gt;  process(cnt,reset,dataout_buf,jinwei)</p><p><b>  begin</b></p><p>  if(reset='0')then</p><p>  dataout_buf(0)<=0;</p><p>  dataout_buf(1)&l

21、t;=0;</p><p>  dataout_buf(2)<=10;</p><p>  dataout_buf(3)<=0;</p><p>  dataout_buf(4)<=0;</p><p>  dataout_buf(5)<=10;</p><p>  dataout_buf(6)

22、<=2;</p><p>  dataout_buf(7)<=1;</p><p>  elsif (clk'event and clk='1')then</p><p>  if cnt=50000000 then</p><p>  if(jinwei(0)='0')then</p

23、><p>  dataout_buf(0)<=dataout_buf(0)+1;</p><p><b>  else</b></p><p>  dataout_buf(0)<=0;</p><p>  if(jinwei(1)='0')then</p><p>  da

24、taout_buf(1)<=dataout_buf(1)+1;</p><p><b>  else</b></p><p>  dataout_buf(1)<=0;</p><p>  if(jinwei(2)='0')then</p><p>  dataout_buf(3)<=da

25、taout_buf(3)+1;</p><p><b>  else </b></p><p>  dataout_buf(3)<=0;</p><p>  if(jinwei(3)='0')then</p><p>  dataout_buf(4)<=dataout_buf(4)+1;<

26、;/p><p><b>  else </b></p><p>  dataout_buf(4)<=0;</p><p>  if(jinwei(4)='0')then</p><p>  dataout_buf(6)<=dataout_buf(6)+1;</p><p>

27、<b>  else</b></p><p>  dataout_buf(6)<=0;</p><p>  if(jinwei(5)='0')then</p><p>  dataout_buf(7)<=dataout_buf(7)+1;</p><p><b>  else<

28、/b></p><p>  dataout_buf(7)<=0;</p><p><b>  end if;</b></p><p><b>  end if;</b></p><p><b>  end if;</b></p><p>&l

29、t;b>  end if;</b></p><p><b>  end if;</b></p><p><b>  end if;</b></p><p><b>  end if;</b></p><p><b>  end if;</b&g

30、t;</p><p>  end process;</p><p><b>  數(shù)碼管顯示模塊:</b></p><p>  動態(tài)刷新分為兩個部分,即移位部分與賦值部分,如下兩個進程——</p><p>  移位顯示進程通過移位寄存器控制數(shù)碼管的循環(huán)移位。</p><p>  ----------

31、--移位顯示-----------------------------</p><p>  process(clk,reset,dataout_buf)</p><p><b>  begin</b></p><p>  if(reset='0')then</p><p>  cnt_scan<=0

32、;</p><p>  led_sel_buffer<="00000001";</p><p>  elsif(clk'event and clk='1')then</p><p>  cnt_scan<=cnt_scan+1;</p><p>  if(cnt_scan=800)the

33、n</p><p>  led_sel_buffer<=led_sel_buffer(6 downto 0)&led_sel_buffer(7);</p><p>  cnt_scan<=0;</p><p><b>  end if;</b></p><p><b>  end if;&l

34、t;/b></p><p>  led_sel<=led_sel_buffer;</p><p>  end process;</p><p>  ------------分段賦值------------------</p><p>  process(clk,led_sel_buffer,dataout_buf,reset)&l

35、t;/p><p><b>  begin</b></p><p>  if reset='0'then</p><p>  datacode<=0;</p><p>  elsif(clk'event and clk='1')then</p><p>  

36、case led_sel_buffer is</p><p>  when"11111110"=>datacode<=dataout_buf(0);</p><p>  when"11111101"=>datacode<=dataout_buf(1);</p><p>  when"11111

37、011"=>datacode<=dataout_buf(2);</p><p>  when"11110111"=>datacode<=dataout_buf(3);</p><p>  when"11101111"=>datacode<=dataout_buf(4);</p><p&

38、gt;  when"11011111"=>datacode<=dataout_buf(5);</p><p>  when"10111111"=>datacode<=dataout_buf(6);</p><p>  when"01111111"=>datacode<=dataout_buf(7

39、);</p><p>  when others=>datacode<=dataout_buf(0);</p><p><b>  end case;</b></p><p><b>  end if;</b></p><p>  end process;</p><

40、p>  顯示部分實質(zhì)為數(shù)碼管顯示譯碼器,把譯碼輸出到數(shù)碼管從而顯示數(shù)字。</p><p>  -------------顯示--------------</p><p>  process(datacode,reset)</p><p><b>  begin</b></p><p>  if reset='

41、;0'then</p><p>  dataout<="11111111";</p><p><b>  else</b></p><p>  case datacode is</p><p>  when 0=>dataout<="11111100";&

42、lt;/p><p>  when 1=>dataout<="01100000";</p><p>  when 2=>dataout<="11011010";</p><p>  when 3=>dataout<="11110010";</p><p>

43、;  when 4=>dataout<="01100110";</p><p>  when 5=>dataout<="10010110";</p><p>  when 6=>dataout<="00111110";</p><p>  when 7=>datao

44、ut<="11100000";</p><p>  when 8=>dataout<="11111110";</p><p>  when 9=>dataout<="11100110";</p><p>  when 10=>dataout<="00000

45、010";</p><p>  when others=>dataout<="00000000";</p><p><b>  end case;</b></p><p><b>  end if;</b></p><p>  led_data<=da

46、taout;</p><p>  end process;</p><p>  ------------------------------------</p><p><b>  2、總程序為:</b></p><p>  library ieee;</p><p>  use ieee.std

47、_logic_1164.all;</p><p>  use ieee.std_logic_unsigned.all;</p><p>  entity clock is</p><p>  port(clk,reset:in std_logic;</p><p>  led_data:out std_logic_vector(7 downt

48、o 0);</p><p>  led_sel:out std_logic_vector(7 downto 0)</p><p><b>  );</b></p><p>  end clock;</p><p>  architecture logic of clock is</p><p> 

49、 type number is array (0 to 7) of integer;</p><p>  signal dataout_buf:number;</p><p>  signal led_sel_buffer:std_logic_vector(7 downto 0);</p><p>  signal dataout:std_logic_vector(

50、7 downto 0);</p><p>  signal datacode:integer range 0 to 10; </p><p>  signal jinwei:std_logic_vector(0 to 5);</p><p>  signal cnt_scan:integer;</p><p>  signal cnt:int

51、eger;</p><p><b>  begin</b></p><p>  -------------進位標志--------------------------</p><p>  process(clk,reset)</p><p><b>  begin</b></p>&l

52、t;p>  if reset='0'then</p><p>  jinwei<="000000";</p><p>  elsif clk'event and clk='1'then</p><p>  if dataout_buf(0)=9 then</p><p>

53、  jinwei(0)<='1';</p><p><b>  else</b></p><p>  jinwei(0)<='0';</p><p><b>  end if;</b></p><p>  if(jinwei(0)='1'a

54、nd dataout_buf(1)=5)then</p><p>  jinwei(1)<='1';</p><p><b>  else</b></p><p>  jinwei(1)<='0';</p><p><b>  end if; </b>&

55、lt;/p><p>  if(jinwei(1)='1' and dataout_buf(3)=9)then</p><p>  jinwei(2)<='1';</p><p><b>  else</b></p><p>  jinwei(2)<='0';<

56、/p><p><b>  end if; </b></p><p>  if(jinwei(2)='1' and dataout_buf(4)=5)then</p><p>  jinwei(3)<='1';</p><p><b>  else</b></p

57、><p>  jinwei(3)<='0';</p><p><b>  end if; </b></p><p>  if(jinwei(3)='1' and dataout_buf(6)=9)then</p><p>  jinwei(4)<='1';</

58、p><p><b>  else</b></p><p>  jinwei(4)<='0';</p><p>  end if; </p><p>  if(jinwei(4)='1' and dataout_buf(6)=2 and dataout_buf(7)=1)then<

59、;/p><p>  jinwei(5)<='1';</p><p><b>  else</b></p><p>  jinwei(5)<='0';</p><p><b>  end if; </b></p><p><b&g

60、t;  end if;</b></p><p>  end process;</p><p>  ------------移位顯示-----------------------------</p><p>  process(clk,reset,dataout_buf)</p><p><b>  begin</b

61、></p><p>  if(reset='0')then</p><p>  cnt_scan<=0;</p><p>  led_sel_buffer<="11111110";</p><p>  elsif(clk'event and clk='1')then

62、</p><p>  cnt_scan<=cnt_scan+1;</p><p>  if(cnt_scan=800)then</p><p>  led_sel_buffer<=led_sel_buffer(6 downto 0)&led_sel_buffer(7);</p><p>  cnt_scan<=0;&

63、lt;/p><p><b>  end if;</b></p><p><b>  end if;</b></p><p>  led_sel<=led_sel_buffer;</p><p>  end process;</p><p>  ------------分段賦

64、值------------------</p><p>  process(clk,led_sel_buffer,dataout_buf,reset)</p><p><b>  begin</b></p><p>  if reset='0'then</p><p>  datacode<=0;&

65、lt;/p><p>  elsif(clk'event and clk='1')then</p><p>  case led_sel_buffer is</p><p>  when"11111110"=>datacode<=dataout_buf(0);</p><p>  when&q

66、uot;11111101"=>datacode<=dataout_buf(1);</p><p>  when"11111011"=>datacode<=dataout_buf(2);</p><p>  when"11110111"=>datacode<=dataout_buf(3);</p>

67、;<p>  when"11101111"=>datacode<=dataout_buf(4);</p><p>  when"11011111"=>datacode<=dataout_buf(5);</p><p>  when"10111111"=>datacode<=data

68、out_buf(6);</p><p>  when"01111111"=>datacode<=dataout_buf(7);</p><p>  when others=>datacode<=dataout_buf(0);</p><p><b>  end case;</b></p>

69、<p><b>  end if;</b></p><p>  end process;</p><p>  -------------------分頻--------------</p><p>  process(clk,reset)</p><p><b>  begin</b>&

70、lt;/p><p>  if(reset='0')then</p><p><b>  cnt<=0;</b></p><p>  elsif(clk'event and clk='1')then</p><p>  cnt<=cnt+1;</p><p

71、>  if(cnt=50000000)then</p><p><b>  cnt<=0;</b></p><p><b>  end if;</b></p><p><b>  end if;</b></p><p>  end process;</p>

72、;<p>  -----------計數(shù)---------------------</p><p>  process(cnt,reset,dataout_buf,jinwei)</p><p><b>  begin</b></p><p>  if(reset='0')then</p><p

73、>  dataout_buf(0)<=0;</p><p>  dataout_buf(1)<=0;</p><p>  dataout_buf(2)<=10;</p><p>  dataout_buf(3)<=0;</p><p>  dataout_buf(4)<=5;</p><

74、p>  dataout_buf(5)<=10;</p><p>  dataout_buf(6)<=0;</p><p>  dataout_buf(7)<=1;</p><p>  elsif (clk'event and clk='1')then</p><p>  if cnt=50000

75、000 then</p><p>  if(jinwei(0)='0')then</p><p>  dataout_buf(0)<=dataout_buf(0)+1;</p><p><b>  else</b></p><p>  dataout_buf(0)<=0;</p>

76、<p>  if(jinwei(1)='0')then</p><p>  dataout_buf(1)<=dataout_buf(1)+1;</p><p><b>  else</b></p><p>  dataout_buf(1)<=0;</p><p>  if(jinw

77、ei(2)='0')then</p><p>  dataout_buf(3)<=dataout_buf(3)+1;</p><p><b>  else </b></p><p>  dataout_buf(3)<=0;</p><p>  if(jinwei(3)='0')

78、then</p><p>  dataout_buf(4)<=dataout_buf(4)+1;</p><p><b>  else </b></p><p>  dataout_buf(4)<=0;</p><p>  if(jinwei(4)='0')then</p>&l

79、t;p>  dataout_buf(6)<=dataout_buf(6)+1;</p><p><b>  else</b></p><p>  dataout_buf(6)<=0;</p><p>  if(jinwei(5)='0')then</p><p>  dataout_bu

80、f(7)<=dataout_buf(7)+1;</p><p><b>  else</b></p><p>  dataout_buf(7)<=0;</p><p><b>  end if;</b></p><p><b>  end if;</b></p

81、><p><b>  end if;</b></p><p><b>  end if;</b></p><p><b>  end if;</b></p><p><b>  end if;</b></p><p><b>

82、  end if;</b></p><p><b>  end if;</b></p><p>  end process;</p><p>  -------------顯示-------------</p><p>  process(datacode,reset)</p><p>

83、;<b>  begin</b></p><p>  if reset='0'then</p><p>  dataout<="11111111";</p><p><b>  else</b></p><p>  case datacode is</

84、p><p>  when 0=>dataout<="00000011";</p><p>  when 1=>dataout<="10011111";</p><p>  when 2=>dataout<="00100101";</p><p>  w

85、hen 3=>dataout<="00001101";</p><p>  when 4=>dataout<="10011001";</p><p>  when 5=>dataout<="01001001";</p><p>  when 6=>dataout&l

86、t;="11000001";</p><p>  when 7=>dataout<="00011111";</p><p>  when 8=>dataout<="00000001";</p><p>  when 9=>dataout<="00011001&q

87、uot;;</p><p>  when 10=>dataout<="11111101";</p><p>  when others=>dataout<="11111111";</p><p><b>  end case;</b></p><p><

88、;b>  end if;</b></p><p>  led_data<=dataout;</p><p>  end process;</p><p>  ----------------------------------</p><p>  end logic;</p><p><b

89、>  3、仿真:</b></p><p><b>  波形如下,</b></p><p> ?。ㄋ模?、FPGA綜合與物理實現(xiàn):</p><p> ?。ㄎ澹?、Fpga下載調(diào)試:</p><p>  依照實驗指導(dǎo)書步驟,分配引腳,生成BIT文件。將生成的文件下載到已上電的FPGA開發(fā)板中,觀察結(jié)果。<

90、/p><p><b>  五、設(shè)計總結(jié): </b></p><p>  本設(shè)計中主要采用了數(shù)碼管動態(tài)刷新功能,同時根據(jù)數(shù)字時鐘的進位邏輯實現(xiàn)了數(shù)字時鐘計時功能。在編寫VHDL語言中,通過實現(xiàn)進位邏輯再加入動態(tài)刷新模塊,則能實現(xiàn)數(shù)字時鐘的正常顯示。</p><p>  大學(xué)本科生畢業(yè)設(shè)計(論文)撰寫規(guī)范</p><p>  本

91、科生畢業(yè)設(shè)計(論文)是學(xué)生在畢業(yè)前提交的一份具有一定研究價值和實用價值的學(xué)術(shù)資料。它既是本科學(xué)生開始從事工程設(shè)計、科學(xué)實驗和科學(xué)研究的初步嘗試,也是學(xué)生在教師的指導(dǎo)下,對所進行研究的適當(dāng)表述,還是學(xué)生畢業(yè)及學(xué)位資格認定的重要依據(jù)。畢業(yè)論文撰寫是本科生培養(yǎng)過程中的基本訓(xùn)練環(huán)節(jié)之一,應(yīng)符合國家及各專業(yè)部門制定的有關(guān)標準,符合漢語語法規(guī)范。指導(dǎo)教師應(yīng)加強指導(dǎo),嚴格把關(guān)。</p><p><b>  1、論文結(jié)

92、構(gòu)及要求</b></p><p>  論文包括題目、中文摘要、外文摘要、目錄、正文、參考文獻、致謝和附錄等幾部分。</p><p><b>  1.1 題目</b></p><p>  論文題目應(yīng)恰當(dāng)、準確地反映論文的主要研究內(nèi)容。不應(yīng)超過25字,原則上不得使用標點符號,不設(shè)副標題。</p><p>  1.

93、2 摘要與關(guān)鍵詞</p><p><b>  1.2.1 摘要</b></p><p>  本科生畢業(yè)設(shè)計(論文)的摘要均要求用中、英兩種文字給出,中文在前。</p><p>  摘要應(yīng)扼要敘述論文的研究目的、研究方法、研究內(nèi)容和主要結(jié)果或結(jié)論,文字要精煉,具有一定的獨立性和完整性,摘要一般應(yīng)在300字左右。摘要中不宜使用公式、圖表,不標注引用

94、文獻編號,避免將摘要寫成目錄式的內(nèi)容介紹。</p><p><b>  1.2.2 關(guān)鍵詞</b></p><p>  關(guān)鍵詞是供檢索用的主題詞條,應(yīng)采用能覆蓋論文主要內(nèi)容的通用技術(shù)詞條(參照相應(yīng)的技術(shù)術(shù)語標準),一般列3~5個,按詞條的外延層次從大到小排列,應(yīng)在摘要中出現(xiàn)。</p><p><b>  1.3 目錄</b>

95、;</p><p>  目錄應(yīng)獨立成頁,包括論文中全部章、節(jié)的標題及頁碼。</p><p><b>  1.4 論文正文</b></p><p>  論文正文包括緒論、論文主體及結(jié)論等部分。</p><p><b>  1.4.1 緒論</b></p><p>  緒論一般作

96、為論文的首篇。緒論應(yīng)說明選題的背景、目的和意義,國內(nèi)外文獻綜述以及論文所要研究的主要內(nèi)容。</p><p>  文管類論文的緒論是畢業(yè)論文的開頭部分,一般包括說明論文寫作的目的與意義,對所研究問題的認識以及提出問題。緒論只是文章的開頭,不必寫章號。</p><p>  畢業(yè)設(shè)計(論文)緒論部分字數(shù)不多于全部論文字數(shù)的1/4。</p><p>  1.4.2 論文主體

97、</p><p>  論文主體是論文的主要部分,要求結(jié)構(gòu)合理,層次清楚,重點突出,文字簡練、通順。論文主體的內(nèi)容要求參照《大學(xué)本科生畢業(yè)設(shè)計(論文)的規(guī)定》第五章。</p><p>  論文主體各章后應(yīng)有一節(jié)“本章小結(jié)”。</p><p><b>  1.4.3 結(jié)論</b></p><p>  結(jié)論作為單獨一章排列,但

98、不加章號。</p><p>  結(jié)論是對整個論文主要成果的歸納,要突出設(shè)計(論文)的創(chuàng)新點,以簡練的文字對論文的主要工作進行評價,一般為400~1 000字。</p><p><b>  1.5 參考文獻</b></p><p>  參考文獻是論文不可缺少的組成部分,它反映了論文的取材來源和廣博程度。論文中要注重引用近期發(fā)表的與論文工作直接有關(guān)

99、的學(xué)術(shù)期刊類文獻。對理工類論文,參考文獻數(shù)量一般應(yīng)在15篇以上,其中學(xué)術(shù)期刊類文獻不少于8篇,外文文獻不少于3篇;對文科類、管理類論文,參考文獻數(shù)量一般為10~20篇,其中學(xué)術(shù)期刊類文獻不少于8篇,外文文獻不少于3篇。</p><p>  在論文正文中必須有參考文獻的編號,參考文獻的序號應(yīng)按在正文中出現(xiàn)的順序排列。</p><p>  產(chǎn)品說明書、各類標準、各種報紙上刊登的文章及未公開發(fā)表

100、的研究報告(著名的內(nèi)部報告如PB、AD報告及著名大公司的企業(yè)技術(shù)報告等除外)不宜做為參考文獻引用。但對于工程設(shè)計類論文,各種標準、規(guī)范和手冊可作為參考文獻。</p><p>  引用網(wǎng)上參考文獻時,應(yīng)注明該文獻的準確網(wǎng)頁地址,網(wǎng)上參考文獻不包含在上述規(guī)定的文獻數(shù)量之內(nèi)。</p><p><b>  1.6 致謝</b></p><p>  對導(dǎo)

101、師和給予指導(dǎo)或協(xié)助完成論文工作的組織和個人表示感謝。內(nèi)容應(yīng)簡潔明了、實事求是,避免俗套。</p><p><b>  1.7 附錄</b></p><p>  如開題報告、文獻綜述、外文譯文及外文文獻復(fù)印件、公式的推導(dǎo)、程序流程圖、圖紙、數(shù)據(jù)表格等有些不宜放在正文中,但有參考價值的內(nèi)容可編入論文的附錄中。</p><p><b>  

102、2、論文書寫規(guī)定</b></p><p>  2.1 論文正文字數(shù)</p><p>  理工類 論文正文字數(shù)不少于20 000字。</p><p>  文管類 論文正文字數(shù)12 000-20 000字。其中漢語言文學(xué)專業(yè)不少于7 000字。</p><p>  外語類 論文正文字數(shù)8 000-10 000個外文單詞。<

103、/p><p>  藝術(shù)類 論文正文字數(shù)3 000~5 000字。</p><p><b>  2.2 論文書寫</b></p><p>  本科生畢業(yè)論文用B5紙計算機排版、編輯與雙面打印輸出。</p><p>  論文版面設(shè)置為:畢業(yè)論文B5紙、縱向、為橫排、不分欄,上下頁邊距分別為2.5cm和2cm,左右頁邊距分別為2

104、.4cm和2cm,對稱頁邊距、左側(cè)裝訂并裝訂線為0cm、奇偶頁不同、無網(wǎng)格。論文正文滿頁為29行,每行33個字,字號為小四號宋體,每頁版面字數(shù)為957個,行間距為固定值20磅。</p><p>  頁眉。頁眉應(yīng)居中置于頁面上部。單數(shù)頁眉的文字為“章及標題”;雙數(shù)頁眉的文字為“大學(xué)本科生畢業(yè)設(shè)計(論文)”。頁眉的文字用五號宋體,頁眉文字下面為2條橫線(兩條橫線的長度與版芯尺寸相同,線粗0.5磅)。頁眉、頁腳邊距分別

105、為1.8cm和1.7cm。</p><p>  頁碼。頁碼用小五號字,居中標于頁面底部。摘要、目錄等文前部分的頁碼用羅馬數(shù)字單獨編排,正文以后的頁碼用阿拉伯?dāng)?shù)字編排。</p><p><b>  2.3 摘要</b></p><p>  中文摘要一般為300字左右,外文摘要應(yīng)與中文摘要內(nèi)容相同,在語法、用詞和書寫上應(yīng)正確無誤,摘要頁勿需寫出論文

106、題目。中、外文摘要應(yīng)各占一頁,編排裝訂時放置正文前,并且中文在前,外文在后。</p><p><b>  2.4 目錄</b></p><p>  目錄應(yīng)包括論文中全部章節(jié)的標題及頁碼,含中、外文摘要;正文章、節(jié)題目;</p><p>  參考文獻;致謝;附錄。</p><p>  正文章、節(jié)題目(理工類要求編寫到第3級

107、標題,即□.□.□。文科、管理類可視論文需要進行,編寫到2~3級標題。)</p><p><b>  2.5 論文正文</b></p><p>  2.5.1 章節(jié)及各章標題</p><p>  論文正文分章、節(jié)撰寫,每章應(yīng)另起一頁。</p><p>  各章標題要突出重點、簡明扼要。字數(shù)一般在15字以內(nèi),不得使用標點符

108、號。標題中盡量不用英文縮寫詞,對必須采用者,應(yīng)使用本行業(yè)的通用縮寫詞。</p><p><b>  2.5.2 層次</b></p><p>  層次以少為宜,根據(jù)實際需要選擇。層次代號格式見表1和表2。</p><p>  表1 理工類論文層次代號及說明</p><p>  ↑

109、 ↑</p><p>  版心左邊線 版心右邊線</p><p>  表2 文管類論文層次代號及說明</p><p>  ↑ ↑</p><p>  版心左邊線

110、 版心右邊線</p><p>  各層次題序及標題不得置于頁面的最后一行(孤行)。</p><p><b>  2.6 參考文獻</b></p><p>  正文中引用文獻標示應(yīng)置于所引內(nèi)容最末句的右上角,用小五號字體。所引文獻編號用阿拉伯?dāng)?shù)字置于方括號“[ ]”中,如“二次銑削[

111、1]”。當(dāng)提及的參考文獻為文中直接說明時,其序號應(yīng)該與正文排齊,如“由文獻[8,10~14]可知”。</p><p>  經(jīng)濟、管理類論文引用文獻,若引用的是原話,要加引號,一般寫在段中;若引的不是原文只是原意,文前只需用冒號或逗號,而不用引號。在參考文獻之外,若有注釋的話,建議采用夾注,即緊接文句,用圓括號標明。</p><p>  不得將引用文獻標示置于各級標題處。</p>

112、<p>  參考文獻書寫格式應(yīng)符合GB7714-1987《文后參考文獻著錄規(guī)則》。常用參考文獻編寫項目和順序應(yīng)按文中引用先后次序規(guī)定如下:</p><p><b>  著作圖書文獻</b></p><p>  序號└─┘作者.書名(版次).出版地:出版者,出版年:引用部分起止頁</p><p><b>  第一版應(yīng)省略&

113、lt;/b></p><p><b>  翻譯圖書文獻</b></p><p>  序號└─┘作者.書名(版次).譯者.出版地: 出版者,出版年:引用部分起止頁</p><p><b>  第一版應(yīng)省略</b></p><p><b>  學(xué)術(shù)刊物文獻</b></p

114、><p>  序號└─┘作者.文章名.學(xué)術(shù)刊物名.年,卷(期):引用部分起止頁</p><p><b>  學(xué)術(shù)會議文獻</b></p><p>  序號└─┘作者.文章名.編者名.會議名稱,會議地址,年份.出版地,出版者,</p><p>  出版年:引用部分起止頁</p><p><b>

115、;  學(xué)位論文類參考文獻</b></p><p>  序號└─┘研究生名.學(xué)位論文題目.出版地.學(xué)校(或研究單位)及學(xué)位論文級別.答</p><p>  辯年份:引用部分起止頁 </p><p>  西文文獻中第一個詞和每個實詞的第一個字母大寫,余者小寫;俄文文獻名第一個詞和專有名詞的第一個字母大寫,余者小寫;日文文獻中的漢字須用日文漢字,不得用中文漢字

116、、簡化漢字代替。文獻中的外文字母一律用正體。</p><p>  作者為多人時,一般只列出前3名作者,不同作者姓名間用逗號相隔。外文姓名按國際慣例,將作者名的縮寫置前,作者姓置后。</p><p>  學(xué)術(shù)會議若出版論文集者,可在會議名稱后加上“論文集”字樣。未出版論文集者省去“出版者”、“出版年”兩項。會議地址與出版地相同者省略“出版地”。會議年份與出版年相同者省略“出版年”。</

117、p><p>  學(xué)術(shù)刊物文獻無卷號的可略去此項,直接寫“年,(期)”。</p><p>  參考文獻序號頂格書寫,不加括號與標點,其后空一格寫作者名。序號應(yīng)按文獻在論文中的被引用順序編排。換行時與作者名第一個字對齊。若同一文獻中有多處被引用,則要寫出相應(yīng)引用頁碼,各起止頁碼間空一格,排列按引用順序,不按頁碼順序。</p><p>  參考文獻書寫格式示例見附錄1。<

118、;/p><p><b>  2.7 名詞術(shù)語</b></p><p>  科技名詞術(shù)語及設(shè)備、元件的名稱,應(yīng)采用國家標準或部頒標準中規(guī)定的術(shù)語或名稱。標準中未規(guī)定的術(shù)語要采用行業(yè)通用術(shù)語或名稱。全文名詞術(shù)語必須統(tǒng)一。一些特殊名詞或新名詞應(yīng)在適當(dāng)位置加以說明或注解。</p><p>  文管類專業(yè)技術(shù)術(shù)語應(yīng)為常見、常用的名詞。</p>

119、<p>  采用英語縮寫詞時,除本行業(yè)廣泛應(yīng)用的通用縮寫詞外,文中第一次出現(xiàn)的縮寫詞應(yīng)該用括號注明英文全文。</p><p><b>  2.8 計量單位</b></p><p>  物理量計量單位及符號一律采用《中華人民共和國法定計量單位》(GB3100~3102—1993,見附錄2),不得使用非法定計量單位及符號。計量單位符號,除用人名命名的單位第一個字

120、母用大寫之外,一律用小寫字母。</p><p>  非物理單位(如件、臺、人、元、次等)可以采用漢字與單位符號混寫的方式,如“萬t·km”,“t/(人·a)”等。</p><p>  文稿敘述中不定數(shù)字之后允許用中文計量單位符號,如“幾千克至1 000kg”。</p><p>  表達時刻時應(yīng)采用中文計量單位,如“上午8點45分”,不能寫成“8h

121、45min”。</p><p>  計量單位符號一律用正體。</p><p>  2.9 外文字母的正、斜體用法</p><p>  按照GB3100~3102-1986及GB7159-1987的規(guī)定使用,即物理量符號、物理常量、變量符號用斜體,計量單位等符號均用正體。</p><p><b>  2.10 數(shù)字</b>

122、</p><p>  按國家語言文字工作委員會等七單位1987年發(fā)布的《關(guān)于出版物上數(shù)字用法的規(guī)定》,除習(xí)慣用中文數(shù)字表示的以外,一般均采用阿拉伯?dāng)?shù)字(參照附錄3)。</p><p><b>  2.11 公式</b></p><p>  原則上居中書寫。若公式前有文字(如“解”、“假定”等),文字頂格書寫,公式仍居中寫。公式末不加標點。<

123、;/p><p>  公式序號按章編排,如第1章第一個公式序號為“(1-1)”,附錄2中的第一個公式為(②-1)等。 </p><p>  文中引用公式時,一般用“見式(1-1)”或“由公式(1-1)”。</p><p>  公式中用斜線表示“除”的關(guān)系時,若分母部分為乘積應(yīng)采用括號,以免含糊不清,如a/(bcosx)。通?!俺恕钡年P(guān)系在前,如acosx/b而不

124、寫(a/b)cosx。</p><p><b>  2.12 插表</b></p><p>  表格不加左、右邊線。</p><p>  表序一般按章編排,如第1章第一個插表的序號為“表1-1”等。表序與表名之間空一格,表名中不允許使用標點符號,表名后不加標點。表序與表名置于表上,居中排寫(見附錄4)。</p><p>

125、  表頭設(shè)計應(yīng)簡單明了,盡量不用斜線。表頭中可采用化學(xué)符號或物理量符號。</p><p>  全表如用同一單位,將單位符號移到表頭右上角,加圓括號(見附錄4中的例2)。</p><p>  表中數(shù)據(jù)應(yīng)正確無誤,書寫清楚。數(shù)字空缺的格內(nèi)加“—”字線(占2個數(shù)字寬度)。表內(nèi)文字和數(shù)字上、下或左、右相同時,不允許用“″”、“同上”之類的寫法,可采用通欄處理方式(見附錄4中的例2)。</p&

126、gt;<p>  表內(nèi)文字說明不加標點。</p><p>  文管類的插表在表下一般根據(jù)需要可增列補充材料、注解、附記、資料來源、某些指標的計算方法等。</p><p>  表內(nèi)文字說明,起行空一格,轉(zhuǎn)行頂格,句末不加標點。表題用五號字,表內(nèi)文字及表的說明文字均用五號字,中文用宋體。</p><p>  表格容量較大,必要時表格也可分為兩段或多段(這只

127、能發(fā)生在轉(zhuǎn)頁時),轉(zhuǎn)頁分段后的每一續(xù)表的表頭都應(yīng)重新排字,重排表頭的續(xù)表上方右側(cè)應(yīng)注明(續(xù)表×)字樣。</p><p><b>  2.13 插圖</b></p><p>  插圖應(yīng)與文字緊密配合,文圖相符,技術(shù)內(nèi)容正確。</p><p>  2.13.1 制圖標準</p><p>  插圖應(yīng)符合技術(shù)制圖及相應(yīng)

128、專業(yè)制圖的規(guī)定。</p><p>  機械工程圖:采用第一角投影法,應(yīng)符合附錄5所列有關(guān)標準的規(guī)定。</p><p>  電氣圖:圖形符號、文字符號等應(yīng)符合附錄6所列有關(guān)標準的規(guī)定。</p><p>  流程圖:符合國家標準。</p><p>  對無規(guī)定符號的圖形應(yīng)采用該行業(yè)的常用畫法。</p><p>  2.13

129、.2 圖題及圖中說明</p><p>  每個圖均應(yīng)有圖題(由圖號和圖名組成)。圖號按章編排,如第1章第一圖的圖號為“圖1-1”等。圖題置于圖下。有圖注或其他說明時應(yīng)置于圖題之上。圖名在圖號之后空一格排寫。引用圖應(yīng)說明出處,在圖題右上角加引用文獻編號。圖中若有分圖時,分圖號用a)、b)等置于分圖之下。</p><p>  圖中各部分說明應(yīng)采用中文(引用的外文圖除外)或數(shù)字項號,各項文字說明

130、置于圖題之上(有分圖題者,置于分圖題之上)。</p><p>  圖題用五號字,圖內(nèi)文字及說明均用五號字,中文用宋體。</p><p>  2.13.3 插圖編排</p><p>  插圖與其圖題為一個整體,不得拆開排寫于兩頁。插圖應(yīng)編排在正文提及之后,插圖處的該頁空白不夠排寫該圖整體時,則可將其后文字部分提前排寫,將圖移到次頁最前面。</p><

131、;p>  2.13.4 坐標單位</p><p>  有數(shù)字標注的坐標圖,除無單位者(如標示值)之外,必須注明坐標單位。</p><p>  2.13.5 論文中照片圖及插圖</p><p>  畢業(yè)論文中的照片圖均應(yīng)是原版照片粘貼(或數(shù)碼像機圖片),照片可為黑白或彩色,應(yīng)主題突出、層次分明、清晰整潔、反差適中。照片采用光面相紙,不宜用布紋相紙。對金相顯微組織

132、照片必須注明放大倍數(shù)。</p><p>  畢業(yè)論文中的插圖不得采用復(fù)印件。對于復(fù)雜的引用圖,可采用數(shù)字化儀表輸入計算機打印出來的圖稿。</p><p><b>  2.14 附錄</b></p><p>  理工類論文附錄的序號采用“附錄1”、“附錄2”等,附錄順序為開題報告、文獻綜述、外文文獻的中文譯文及外文復(fù)印件等。</p>

133、<p>  文管類論文附錄序號相應(yīng)采用“附錄一”、“附錄二”等。</p><p><b>  3、論文排版要求</b></p><p>  3.1 紙張要求及頁面設(shè)置</p><p>  3.2 封面(詳見模版、B5紙單面打印)</p><p>  3.3 封面2(詳見模版、B5紙單面打印)</p>

134、;<p>  3.4 本科畢業(yè)設(shè)計/論文 任務(wù)書(單面打印)</p><p>  3.5 中、英文摘要</p><p><b>  3.6 目錄</b></p><p><b>  3.7 正文</b></p><p><b>  3.8 其它</b></p

135、><p>  注:1.畢業(yè)設(shè)計/論文模版用Word 2003文檔排版,詳見教務(wù)處網(wǎng)頁-“文檔下載”-“實踐教學(xué)用表”中畢業(yè)設(shè)計/論文模版,下載的模版文檔會變形需要進行整理。</p><p>  2.未注明事宜,請查看撰寫規(guī)范有關(guān)要求。</p><p>  4、論文打印輸出要求</p><p><b>  4.1 輸出樣式</b&g

136、t;</p><p>  計算機雙面打印輸出。</p><p><b>  4.2 字體字號</b></p><p>  論文正文字體為宋體,小四號字。</p><p>  第一層次(章)題序和標題用小二號黑體字。題序和標題之間空1個字。</p><p>  第二層次(節(jié))題序和標題用小三號黑體字

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 眾賞文庫僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論