數(shù)字電路驗證方法_第1頁
已閱讀1頁,還剩42頁未讀, 繼續(xù)免費閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報或認(rèn)領(lǐng)

文檔簡介

1、補(bǔ)充內(nèi)容,浙大微電子韓雁2013.4,浙大微電子,1,內(nèi)容,Testbench工藝離散性的克服電阻離散的影響電容離散的影響頻率離散的校正模擬IC低功耗設(shè)計技術(shù),浙大微電子,2/41,Testbench,Testbench更像一個激勵的產(chǎn)生器,對所設(shè)計的輸入管腳產(chǎn)生相應(yīng)的輸入值(0或者1)系列,也叫“測試矢量”,通過觀察輸出值來評估設(shè)計的正確性。Testbench 的工作就是把這些不同的輸入值(測試矢量)加載到所設(shè)計的電路中

2、。,設(shè)計模塊:test=~a|b&c;,浙大微電子,3,Testbench生成方式,1.MaxplusII里面,畫高低電平形成testbench。 2.Modelsim里面,按照一定規(guī)則寫testbench。,浙大微電子,4/41,畫testbench,,輸出結(jié)果,,,,設(shè)計模塊:test=~a|b&c;,浙大微電子,5/41,寫testbench,`timescale 1ns/10ps

3、module top_test();reg a_test, b_test, c_test; test test (.a(a_test), .b(b_test), .c(c_test), .test(test_out)); //調(diào)用設(shè)計模塊initial begin a_test=0; b_test=0;c_test=0; //初始化#(100) begin a_test=0; b_test=0;c_test=1;end //

4、每100ns賦值一次#(100) begin a_test=0; b_test=1;c_test=0;end#(100) begin a_test=0; b_test=1;c_test=1;end#(100) begin a_test=1; b_test=0;c_test=0;end#(100) begin a_test=1; b_test=0;c_test=1;end#(100) begin a_test=1; b

5、_test=1;c_test=0;end#(100) begin a_test=1; b_test=1;c_test=1;end#(100) $stop;endendmodule,設(shè)計模塊:test=~a|b&c;,浙大微電子,6/41,編寫testbench規(guī)則,1.定義仿真步長和仿真精度 `timescale 1ns/10ps 2. 定義模塊 ,不需要輸入輸出端口列表,因為testbench只是產(chǎn)

6、生激勵它本身沒有輸入輸出。 module top_test(); …… endmodule3.定義變量類型將與待測模塊(DUT, Design Under Test)輸入相連的信號 定義為reg類型。 reg a_test, b_test, c_test; 將與DUT輸出相連的信號定義為wire類型,單比特的輸出信號可以 不定義,默認(rèn)就是1 bit 的wir

7、e 類型信號。 wire out_signal1, out_signal2,…., out_signalN;,,空的,浙大微電子,7/41,編寫testbench規(guī)則,4.實例化DUT DUT_Module_Name DUT_Instance_Name(input1,input 2,…,output1); 5. 初始化reg類型信號 initial begin

8、 clk=0; in_signal1=0; ……  //在這里添加其他reg類型變量的初始化值 end6.產(chǎn)生特定頻率的時鐘 always #10 clk=~clk; //產(chǎn)生一個周期為20ns的時鐘,,,名稱可以一樣,浙大微電子,8/41,編寫testbench規(guī)則,8.產(chǎn)生輸入信號 initial //initial塊是并行執(zhí)行

9、 begin #100 en=1; //仿真開始100ns后 en賦值為1 # 200 in_signal1=1;//再過200ns ,in_signal1賦為1 ……  # 10000000 $stop; //仿真停止,$finish為仿真結(jié)束 end,浙大微電子,9/41,Testbench擴(kuò)展:常用系統(tǒng)函數(shù),1.顯示

10、仿真結(jié)果文件中的內(nèi)容: $display2.讀取文本數(shù)據(jù)函數(shù):$readmemh(16進(jìn)制), $readmemb(2進(jìn)制)3.打開文件:$fopen4.將結(jié)果寫入文件: $fmonitor(有變化就寫), $fdisplay(固定周期寫)5. 關(guān)閉文件: $fclose6.導(dǎo)出VCD格式數(shù)據(jù) (VCD是一種記錄仿真中信號跳變的數(shù)據(jù)格式,主要用作功耗分析): $dumpfile7.控制仿真時間: $finish(結(jié)束), $

11、stop(暫停)8.讀入SDF文件(一種記錄門電路各節(jié)點延遲的文件,主要用作時序仿真): $sdf_annotate,浙大微電子,10/41,內(nèi)容,Testbench工藝離散性的克服電阻離散的影響電容離散的影響頻率離散的校正模擬IC低功耗設(shè)計技術(shù),浙大微電子,11/41,電阻離散性的克服,R=T/C,開關(guān)電容電路的主要優(yōu)點:(1)與CMOS工藝的兼容性(2)時間常數(shù)的高精確性(3)電壓的高線性(4)良好的溫度特性開

12、關(guān)電容電路的主要缺點:(1)時鐘饋通(MOS管的柵控時鐘信號通過Cgs, Cgd影響源漏電壓的現(xiàn)象)(2)需要無交疊時鐘信號(3)要求信號帶寬比時鐘頻率小,浙大微電子,12/41,電容的離散性,,充放電電容,電容充電電流,電容放電MOS管,充電開關(guān)管,放電開關(guān)管,浙大微電子,13/41,VDD=5V, Temp=27℃,電容C在tt工藝角下,右圖曲線從上往下分別是MOS管在ff,tt,ss工藝腳下的振蕩頻率。頻率偏差為1.96

13、%~-1.42%。注:圖中的C是Corner的縮寫,不是電容C。,浙大微電子,14/41,VDD=5V, Temp=27℃,電容C在ff工藝角下,右圖曲線從上往下分別是MOS管在ff,tt,ss工藝腳下的振蕩頻率。頻率偏差為1.92%~-1.40%。,浙大微電子,15/41,VDD=5V, Temp=27℃,電容C在ss工藝角下,右圖曲線從上往下分別是MOS管在ff,tt,ss工藝腳下的振蕩頻率。頻率偏差為2.00%~-

14、1.42%。,浙大微電子,16/41,VDD=5V, Temp=27℃,MOS管在tt工藝角下,右圖曲線從上往下分別是電容C在ff,tt,ss工藝腳下的振蕩頻率。頻率偏差為15.24%~-11.70%。,浙大微電子,17/41,VDD=5V, Temp=27℃,MOS管在ff工藝角下,右圖曲線從上往下分別是電容C在ff,tt,ss工藝腳下的振蕩頻率。頻率偏差為15.22%~-11.63%。,浙大微電子,18/41,VDD=5

15、V, Temp=27℃,MOS管在ss工藝角下,右圖曲線從上往下分別是電容C在ff,tt,ss工藝腳下的振蕩頻率。頻率偏差為15.29%~-11.70%。,浙大微電子,19/41,VDD=5V, Temp=27℃,理想電流源,右圖曲線從上往下分別是電容C和MOS管同時在ff,tt,ss工藝腳下的振蕩頻率。頻率偏差為17.45%~-12.95%。,浙大微電子,20/41,結(jié)論,電容在0.5um CMOS工藝中的波動對信號頻率的最

16、大影響為15.29%~-11.70%MOS管在0.5um CMOS工藝中的波動對信號頻率的最大影響為2.00%~-1.42%。,浙大微電子,21/41,如何減小工藝波動對頻率的影響?,1.修調(diào)芯片設(shè)計時增加一定比例的電容或者電阻,流片結(jié)束后,根據(jù)芯片頻率的測試結(jié)果選擇燒斷不同組合的電阻或者電容的連線,使頻率趨于一致。芯片設(shè)計時增加一定比例的恒流源MOS管,流片結(jié)束后,根據(jù)芯片頻率的測試結(jié)果選擇燒斷不同組合的MOS管連線,改變恒流源

17、電流大小。2.采用無電容的振蕩結(jié)構(gòu),浙大微電子,22/41,修調(diào),充電,放電,,增加的修調(diào)管與M12并聯(lián),流片后通過燒斷管子減小充電電流修調(diào)頻率,修調(diào)可以采用fuse 結(jié)構(gòu)燒斷相應(yīng)的連接線來實現(xiàn),因此需要引出PAD。芯片封裝后在PAD上接電源或地?zé)龜鄁use。 由于PAD有較大的寄生電容,要充分考慮該寄生電容對原電路的影響。還要考慮未燒斷的fuse過細(xì)的連接線對正常電流的容量問題。,,,,,接PAD,浙大微電子,23/41,PAD寄

18、生電容的影響,浙大微電子,24/41,Kai Zhu等人在2010年集成電路設(shè)計頂級會議VLSI上提出一種僅由MOS管組成的振蕩電路。,Kai Zhu et.al, A Sub-1μA Low-Power FSK Modulator for Biomedical Sensor Circuits,2010 IEEE Annual Symposium on VLSI,采用無電容的振蕩結(jié)構(gòu),浙大微電子,25/41,環(huán)振電路振蕩頻率跟偏置電流

19、關(guān)系,線性關(guān)系,由于尾電流做不到非常之小,所以該電路振蕩頻率做不到太低當(dāng)尾電流被拿掉之后(或=0),就是RF常用的普通環(huán)振電路,Kai Zhu et.al, A Sub-1μA Low-Power FSK Modulator for Biomedical Sensor Circuits,2010 IEEE Annual Symposium on VLSI,浙大微電子,26/41,FSK振蕩電路,利用偏置電流跟振蕩頻率的線性關(guān)系,通過待

20、調(diào)制數(shù)據(jù)改變偏置電流大小來得到不同的頻率,以此來產(chǎn)生FSK信號。 大家仿真的FSK調(diào)制電路也是來自這篇文章。,Kai Zhu et.al, A Sub-1μA Low-Power FSK Modulator for Biomedical Sensor Circuits,2010 IEEE Annual Symposium on VLSI,浙大微電子,27/41,VDD=5V, Temp=27℃,右圖曲線從上往下分別是M

21、OS管在ff,tt,ss工藝腳下的振蕩頻率。頻率偏差為1.40%~-1.79%,浙大微電子,28/41,內(nèi)容,Testbench工藝離散性的克服電阻離散的影響電容離散的影響頻率離散的校正模擬IC低功耗設(shè)計技術(shù),浙大微電子,29/41,浙大微電子,模擬IC低功耗設(shè)計有效方法--亞閾值IC,,Vin,MOS管工作在亞閾值狀態(tài): VGS= 0.6 V < Vth = 0.68 V,,,Vout,,,,,0.6V,,,C類反

22、相器,30,浙大微電子,亞閾值區(qū)IC 受工藝漲落影響顯著,考查:不同工藝角對該放大器性能的影響(Vth=0.68V),,31,浙大微電子,提出一種抗工藝漲落方法(申報專利),PMOS體電位調(diào)制電路NMOS體電位調(diào)制電路,采用“感應(yīng)反饋”環(huán)路形成負(fù)反饋,減弱工藝漲落的不利影響其中 是MOS管的源體電壓, 是 =0時閾值電壓, 費米勢,,,,,,,32/41,浙大微電子,引入體電位調(diào)制技術(shù)后偏差明顯減

23、小,表1:不同工藝角下亞閾值反向器性能偏差情況,33/26,浙大微電子,代價,引入了額外的高電平VDDH低電平GNDL,,,,,,34/41,浙大微電子,若不引入額外負(fù)電平情況下,35/26,浙大微電子,既不增加負(fù)電平也不增加正電平情況,36/26,浙大微電子,不同工藝角下反相器性能最大偏差匯總,37/26,在18bit 高性能音頻Σ-ΔADC中的應(yīng)用,?-?模數(shù)轉(zhuǎn)換器的結(jié)構(gòu)框圖,?-?調(diào)制器是 ADC 模擬部分主要的功能模塊和功耗

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 眾賞文庫僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論