版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進行舉報或認領(lǐng)
文檔簡介
1、<p> 《EDA》課程設(shè)計報告</p><p> ——正弦波信號發(fā)生器的設(shè)計</p><p><b> 一、設(shè)計目的:</b></p><p> 進一步熟悉QuartusII及其LPM_ROM與FPGA硬件資源的使用方法。培養(yǎng)動手能力以及合作能力。</p><p><b> 二、設(shè)計要求:
2、</b></p><p> 1、clk為12MHz。</p><p> 2、通過DAC0832輸出正弦波電壓信號,電壓范圍0~-5V。</p><p> 3、通過示波器觀察波形。</p><p><b> 三、設(shè)計內(nèi)容:</b></p><p> 在QUARTUSII上完成正
3、弦波信號發(fā)生器的設(shè)計,包括仿真和資源利用情況了解(假設(shè)利用Cyclone器件)。最后在實驗系統(tǒng)上實測,包括FPGA中ROM的在系統(tǒng)數(shù)據(jù)讀寫測試和利用示波器測試。信號輸出的D/A使用實驗系統(tǒng)上的ADC0832。</p><p><b> 設(shè)計原理:</b></p><p> 圖1所示的正弦波信號發(fā)生器的結(jié)構(gòu)由四部分組成:</p><p>
4、計數(shù)器或地址發(fā)生器(這里選擇10位)。</p><p> 正弦信號數(shù)據(jù)ROM(10位地址線,8位數(shù)據(jù)線),含有1024個8位數(shù)據(jù)(一個周期)。</p><p><b> VHDL頂層設(shè)計。</b></p><p> 8位D/A(實驗中可用ADC0832代替)。</p><p> 圖1所示的信號發(fā)生器結(jié)構(gòu)圖中,頂層
5、文件singt.vhd在FPGA中實現(xiàn),包含兩個部分:ROM的地址信號發(fā)生器,由10位計數(shù)器擔任;一個正弦數(shù)據(jù)ROM,由LPM_ROM模塊構(gòu)成。LPM_ROM底層是FPGA中的EAB、ESB或M4K等模塊。地址發(fā)生器的時鐘clk的輸入頻率fo與每周期的波形數(shù)據(jù)點數(shù)(在此選擇1024點),以及D/A輸出的頻率f的關(guān)系是:f=fo/1024</p><p> 圖1 正弦信號發(fā)生器結(jié)構(gòu)框圖</p>&
6、lt;p> 圖2 正弦波信號發(fā)生器的設(shè)計圖</p><p><b> 設(shè)計步驟:</b></p><p> 建立.mif格式文件</p><p> 首先,mif文件可用C語言程序生成,產(chǎn)生正弦波數(shù)值的C程序如下:</p><p> #include<stdio.h></p>&
7、lt;p> #include<math.h></p><p><b> main()</b></p><p><b> {</b></p><p><b> int i;</b></p><p><b> float s;</b&g
8、t;</p><p> for(i=0;i<1024;i++)</p><p><b> {</b></p><p> s=sin(atan(1)*8*i/256);</p><p> printf("%d :%d;\n",i,(int)((s+1)*255/2))</p>
9、<p><b> }</b></p><p><b> }</b></p><p> 其次,把上述程序編譯后,在DOS命令行下執(zhí)行命令:romgen > sdata.mif;</p><p> 將生成的sdata.mif 文件,再加上.mif文件的頭部說明即可。</p><p&
10、gt; .mif文件的頭部說明如下所示:</p><p><b> WIDTH=8;</b></p><p> DEPTH=1024;</p><p> ADDRESS_RADIX=DEC;</p><p> DATA_RADIX=DEC;</p><p> CONTENT BEGIN
11、</p><p><b> 0:127;</b></p><p><b> 1:130;</b></p><p><b> 2:133;</b></p><p><b> 3:136;</b></p><p><b&g
12、t; 4:139;</b></p><p><b> 5:143;</b></p><p><b> 6:146;</b></p><p><b> ……(數(shù)據(jù)略去)</b></p><p><b> 1016:102;</b><
13、;/p><p><b> 1017:105;</b></p><p><b> 1018:108;</b></p><p><b> 1019:111;</b></p><p><b> 1020:115;</b></p><p&g
14、t;<b> 1021:118;</b></p><p><b> 1022:121;</b></p><p><b> 1023:124;</b></p><p><b> END;</b></p><p> 在設(shè)計正弦波信號發(fā)生器前,必須首先
15、完成存放波形數(shù)據(jù)ROM的設(shè)計。利用MegaWizard Plug-In manager定制正弦信號數(shù)據(jù)ROM宏功能塊,并將以上的波形數(shù)據(jù)加載于此ROM中。設(shè)計步驟如下:</p><p> 打開MegaWizard Plug-In manager初始對話框。在Tools菜單中選擇MegaWizard Plug-In manager產(chǎn)生一個對話框,選擇Create a new custom...項,即定制一個新的模
16、塊。單擊Next按鈕后,在所產(chǎn)生的對話框的左欄選擇Storage項下的LPM_ROM,再選擇CycloneⅡ器件和VHDL語言方式;最后輸入ROM文件存放的路徑和文件名:e:\www\rom_1024.vhd,單擊Next按鈕。</p><p> 選擇ROM控制線、地址線和數(shù)據(jù)線。在彈出的對話框中選擇地址線位寬和ROM中數(shù)據(jù)數(shù)分別為10和1024;選擇地址鎖存控制信號clock。</p><
17、p> 單擊Next按鈕在對話框的“What should the RAM…”欄選擇默認的Auto。在欄選擇“Do you want to…Yes,use this file for memory content data”項,并按Browse鈕,選擇指定路徑上的文件rom_1024.mif。在“Allow In-System Memory…”欄選擇打勾,并在“The Instance ID of this ROM”欄輸入ROM1
18、,作為ROM的ID名稱。最后單擊Next按鈕,再單擊Finish 按鈕后完成ROM定制。</p><p> 打開此文件可以看到其中調(diào)用初始化數(shù)據(jù)文件的語句為:init_file => "sdata.mif"。最后生成的ROM元件文件如源代碼1所示,其中的init_file指向已做了修改。修改后用于例化的波形數(shù)據(jù)ROM文件rom_1024.vhd如下。</p><p&
19、gt;<b> 源代碼:</b></p><p> 1)、源代碼1如下所示:</p><p> LIBRARY ieee;</p><p> USE ieee.std_logic_1164.all;</p><p> LIBRARY altera_mf;</p><p> USE al
20、tera_mf.altera_mf_components.all;</p><p> ENTITY rom_1024 IS</p><p><b> PORT</b></p><p> ( address: IN STD_LOGIC_VECTOR (9 DOWNTO 0);</p><p> clock:
21、 IN STD_LOGIC ;</p><p> q: OUT STD_LOGIC_VECTOR (7 DOWNTO 0)</p><p><b> );</b></p><p> END rom_1024;</p><p> ARCHITECTURE SYN OF rom_1024 IS</p>
22、<p> SIGNAL sub_wire0:STD_LOGIC_VECTOR(7 DOWNTO 0);</p><p> COMPONENT altsyncram </p><p> --例化altsyncram元件,調(diào)用了LPM模塊altsyncram</p><p> GENERIC ( --參數(shù)傳遞語句</p>
23、<p> --類屬參量數(shù)據(jù)類型定義</p><p> intended_device_family: STRING;</p><p> width_a: NATURAL;</p><p> widthad_a: NATURAL;</p><p> numwords_a: NATURAL;</p>
24、<p> operation_mode: STRING;</p><p> outdata_reg_a: STRING;</p><p> address_aclr_a: STRING;</p><p> outdata_aclr_a: STRING;</p><p> width_byteena_a
25、: NATURAL;</p><p> init_file: STRING;</p><p> lpm_hint: STRING;</p><p> lpm_type: STRING</p><p><b> );</b></p><p> PORT ( -- a
26、ltsyncram元件接口聲明</p><p> clock0: IN STD_LOGIC ;</p><p> address_a: IN STD_LOGIC_VECTOR (9 DOWNTO 0);</p><p> q_a: OUT STD_LOGIC_VECTOR (7 DOWNTO 0)</p><p><b>
27、 );</b></p><p> END COMPONENT;</p><p><b> BEGIN</b></p><p> q <= sub_wire0(7 DOWNTO 0);</p><p> altsyncram_component : altsyncram</p>
28、<p> GENERIC MAP (</p><p> intended_device_family=>"Cyclone",</p><p><b> --參數(shù)傳遞映射</b></p><p> width_a => 8, --數(shù)據(jù)線寬度8</p><
29、p> widthad_a => 10, --地址線寬度10</p><p> numwords_a => 1024, --數(shù)據(jù)數(shù)量1024</p><p> operation_mode => "ROM",--LPM模式ROM</p><p> outdata_reg_a => &quo
30、t;CLOCK0",--輸出鎖存CLOCK0</p><p> address_aclr_a => "NONE",--無異步地址清0</p><p> outdata_aclr_a => "NONE",--無輸出鎖存異步清0</p><p> width_byteena_a => 1, --b
31、yteena_a輸出口寬度1</p><p> init_file => "sdata.mif", --ROM初始化數(shù)據(jù)文件</p><p> lpm_hint => "ENABLE_RUNTIME_MOD=NO",</p><p> lpm_type => "altsyncram"
32、 --LPM類型</p><p><b> )</b></p><p> PORT MAP (</p><p> clock0 => clock,</p><p> address_a => address,</p><p> q_a => sub_wire0
33、</p><p><b> );</b></p><p><b> END SYN;</b></p><p> 2)、頂層設(shè)計代碼:</p><p> library ieee;</p><p> use ieee.std_logic_1164.all;</p
34、><p> use ieee.std_logic_unsigned.all;</p><p> entity singt is </p><p> port(clk : in std_logic; --信號源時鐘</p><p> dout : out std_logic_vector(7 downto 0)); </p
35、><p> --8位波形數(shù)據(jù)輸出</p><p> end singt;</p><p> architecture dacc of singt is </p><p> component rom_1024 </p><p> --調(diào)用波形數(shù)據(jù)存儲器LPM_ROM,</p><
36、p> 文件:rom_1024.vhd聲明</p><p> port(address:in std_logic_vector(9 downto 0); </p><p><b> --10位地址信號</b></p><p> clock : in std_logic; --地址鎖存時鐘</p><p
37、> q:out std_logic_vector(7 downto 0));</p><p> end component;</p><p> signal q1:std_logic_vector(9 downto 0); </p><p> --設(shè)內(nèi)部節(jié)點作為地址計數(shù)器</p><p><b> begin
38、</b></p><p> process(clk) --LPM_ROM地址發(fā)生進程</p><p><b> begin</b></p><p> if clk'event and clk='1' then</p><p> q1<=q1+1; --Q1作為
39、地址發(fā)生器計數(shù)器</p><p><b> end if;</b></p><p> end process;</p><p> u1:rom_1024 port map (address=>q1,q=>dout,clock=>clk); --例化</p><p><b> end
40、dacc;</b></p><p> 3)為此頂層設(shè)計創(chuàng)建一項工程,工程名和實體名都是singt。</p><p> 4)全程編譯一次后進入時序仿真測試。由波形可見,隨著每一個時鐘上升沿的到來,輸出端口將正弦波數(shù)據(jù)依次輸出。 </p><p> 5)硬件測試。選擇電路模式5,則時鐘CLK接實驗箱的clock0 。將dout(0)…dou
41、t(7)分別鎖定于實驗系統(tǒng)上與DAC0832相接的I/O口:PIO24、PIO25、PIO26、PIO27、PIO28、PIO29、PIO30、PIO31。編譯下載singt.sof后,打開電壓開關(guān),將CLK的時鐘通過實驗箱上clock0的跳線選擇頻率為12MHZ,再將示波器接于實驗箱的兩個掛鉤上就能觀察波形的輸出情況了。</p><p><b> 仿真波形圖:</b></p>
42、<p><b> 八、仿真電路圖:</b></p><p><b> 引腳鎖定:</b></p><p><b> 設(shè)計結(jié)果:</b></p><p> Clock0=12MHZ,輸出頻率為:f=47KHZ,周期為:T=21us</p><p><b
43、> 設(shè)計體會:</b></p><p> 在本次設(shè)計的過程中,我在編譯過程中出現(xiàn)問題,第一個問題出在建立.mif格式文件中,沒有將生成的sdata.mif文件加上.mif文件的頭部說明。.mif文件的頭部說明如下所示:</p><p><b> WIDTH=8;</b></p><p> DEPTH=1024;<
44、/p><p> ADDRESS_RADIX=DEC;</p><p> DATA_RADIX=DEC;</p><p> CONTENT BEGIN</p><p><b> 0:127;</b></p><p><b> 1:130;</b></p>&
45、lt;p><b> ……(數(shù)據(jù)略去)</b></p><p><b> 1023:124;</b></p><p><b> END;</b></p><p> 由于沒有加.mif文件的頭部說明,導(dǎo)致用于例化的波形數(shù)據(jù)ROM文件rom_1024.vhd編譯失敗。最后在老師的指導(dǎo)幫助下,正
46、確的把.mif文件的頭部說明加在了生成的sdata.mif 文件中,這樣rom_1024.vhd文件編譯成功。第二個問題出現(xiàn)在完成存放波形數(shù)據(jù)ROM的設(shè)計過程中,沒有正確的選擇指定路徑文件名sdata.mif導(dǎo)致用于例化的波形數(shù)據(jù)ROM文件rom_1024.vhd編譯失敗,經(jīng)過我的思考和分析后,我正確的選擇指定路徑文件名sdata.mif,這樣編譯正確通過。最后,正確的設(shè)計正弦波信號發(fā)生器的頂層文件sight.vhd,使設(shè)計順利的通過編
47、譯。在編譯下載singt.sof后,當改變實驗箱上clock0的跳線頻率時,相應(yīng)的波形輸出情況也發(fā)生相應(yīng)的變化。</p><p> 在這次設(shè)計中,我也深深地體會到“細節(jié)決定成敗”這句話的真正含義,也許就因為一個小的細節(jié)就會導(dǎo)致設(shè)計的失敗。這次設(shè)計也啟發(fā)了我在以后的學(xué)習中一定要耐心、細心、認真,不可粗枝大葉。</p><p> library ieee;</p><p
48、> use ieee.std_logic_1164.all;</p><p> use ieee.std_logic_unsigned.all;</p><p> entity abc is</p><p><b> port(</b></p><p> clk :in std_logic;</p&
49、gt;<p> dout :out integer range 255 downto 0;</p><p> a :in std_logic);</p><p><b> END;</b></p><p> architecture one of abc is</p><p> signal Q
50、: integer range 127 downto 0;</p><p> signal W : integer range 255 downto 0;</p><p> signal D : integer range 255 downto 0;</p><p> signal C : integer range 63 downto 0;</p>
51、;<p><b> begin </b></p><p> process (clk)</p><p><b> begin</b></p><p> if clk'event and clk ='1' then Q <= Q+1;C <= C+1;</p&g
52、t;<p> if Q < 127 THEN Q<= Q+1;</p><p> ELSE Q<=0;</p><p> if C < 63 THEN C<= C+1;</p><p> ELSE C<=0;</p><p><b> END IF;</b>&l
53、t;/p><p><b> END IF;</b></p><p><b> END IF;</b></p><p> END PROCESS;</p><p> PROCESS (C,Q)</p><p><b> BEGIN</b></p
54、><p> CASE C IS </p><p> WHEN 000=> D <=255;</p><p> WHEN 001=> D <=254;</p><p> WHEN 002=> D <=252;</p><p> WHEN 003=> D <=249;&
55、lt;/p><p> WHEN 004=> D <=245;</p><p> WHEN 005=> D <=239;</p><p> WHEN 006=> D <=233;</p><p> WHEN 007=> D <=225;</p><p> WHEN 0
56、08=> D <=217;</p><p> WHEN 009=> D <=207;</p><p> WHEN 010=> D <=197;</p><p> WHEN 011=> D <=186;</p><p> WHEN 012=> D <=174;</p>
57、;<p> WHEN 013=> D <=162;</p><p> WHEN 014=> D <=150;</p><p> WHEN 015=> D <=137;</p><p> WHEN 016=> D <=124;</p><p> WHEN 017=>
58、D <=112;</p><p> WHEN 018=> D <=99;</p><p> WHEN 019=> D <=87;</p><p> WHEN 020=> D <=75;</p><p> WHEN 021=> D <=64;</p><p>
59、 WHEN 022=> D <=53;</p><p> WHEN 023=> D <=43;</p><p> WHEN 024=> D <=34;</p><p> WHEN 025=> D <=26;</p><p> WHEN 026=> D <=19;</p
60、><p> WHEN 027=> D <=13;</p><p> WHEN 028=> D <=8;</p><p> WHEN 029=> D <=4;</p><p> WHEN 030=> D <=1;</p><p> WHEN 031=> D &l
61、t;=0;</p><p> WHEN 032=> D <=0;</p><p> WHEN 033=> D <=1;</p><p> WHEN 034=> D <=4;</p><p> WHEN 035=> D <=8;</p><p> WHEN 036
62、=> D <=13;</p><p> WHEN 037=> D <=19;</p><p> WHEN 038=> D <=26;</p><p> WHEN 039=> D <=34;</p><p> WHEN 040=> D <=43;</p><
63、p> WHEN 041=> D <=53;</p><p> WHEN 042=> D <=64;</p><p> WHEN 043=> D <=75;</p><p> WHEN 044=> D <=87;</p><p> WHEN 045=> D <=99;&
64、lt;/p><p> WHEN 046=> D <=112;</p><p> WHEN 047=> D <=124;</p><p> WHEN 048=> D <=137;</p><p> WHEN 049=> D <=150;</p><p> WHEN 0
65、50=> D <=162;</p><p> WHEN 051=> D <=174;</p><p> WHEN 052=> D <=186;</p><p> WHEN 053=> D <=197;</p><p> WHEN 054=> D <=207;</p>
66、;<p> WHEN 055=> D <=217;</p><p> WHEN 056=> D <=225;</p><p> WHEN 057=> D <=233;</p><p> WHEN 058=> D <=239;</p><p> WHEN 059=>
67、D <=245;</p><p> WHEN 060=> D <=249;</p><p> WHEN 061=> D <=252;</p><p> WHEN 062=> D <=254;</p><p> WHEN 063=> D <=255;</p><p
68、><b> END CASE;</b></p><p> CASE Q IS </p><p> WHEN 000=> W <=255;</p><p> WHEN 001=> W <=251;</p><p> WHEN 002=> W <=247;</p>
69、;<p> WHEN 003=> W <=243;</p><p> WHEN 004=> W <=239;</p><p> WHEN 005=> W <=235;</p><p> WHEN 006=> W <=231;</p><p> WHEN 007=>
70、W <=227;</p><p> WHEN 008=> W <=223;</p><p> WHEN 009=> W <=219;</p><p> WHEN 010=> W <=215;</p><p> WHEN 011=> W <=211;</p><p
71、> WHEN 012=> W <=207;</p><p> WHEN 013=> W <=203;</p><p> WHEN 014=> W <=199;</p><p> WHEN 015=> W <=195;</p><p> WHEN 016=> W <=1
72、91;</p><p> WHEN 017=> W <=187;</p><p> WHEN 018=> W <=183;</p><p> WHEN 019=> W <=179;</p><p> WHEN 020=> W <=175;</p><p> WH
73、EN 021=> W <=171;</p><p> WHEN 022=> W <=167;</p><p> WHEN 023=> W <=163;</p><p> WHEN 024=> W <=159;</p><p> WHEN 025=> W <=155;</
74、p><p> WHEN 026=> W <=151;</p><p> WHEN 027=> W <=147;</p><p> WHEN 028=> W <=143;</p><p> WHEN 029=> W <=139;</p><p> WHEN 030=&
75、gt; W <=135;</p><p> WHEN 031=> W <=131;</p><p> WHEN 032=> W <=127;</p><p> WHEN 033=> W <=123;</p><p> WHEN 034=> W <=119;</p>&
76、lt;p> WHEN 035=> W <=115;</p><p> WHEN 036=> W <=111;</p><p> WHEN 037=> W <=107;</p><p> WHEN 038=> W <=103;</p><p> WHEN 039=> W &l
77、t;=99;</p><p> WHEN 040=> W <=95;</p><p> WHEN 041=> W <=91;</p><p> WHEN 042=> W <=87;</p><p> WHEN 043=> W <=83;</p><p> WHE
78、N 044=> W <=79;</p><p> WHEN 045=> W <=75;</p><p> WHEN 046=> W <=71;</p><p> WHEN 047=> W <=67;</p><p> WHEN 048=> W <=63;</p>
79、<p> WHEN 049=> W <=59;</p><p> WHEN 050=> W <=55;</p><p> WHEN 051=> W <=51;</p><p> WHEN 052=> W <=47;</p><p> WHEN 053=> W <
80、=43;</p><p> WHEN 054=> W <=39;</p><p> WHEN 055=> W <=35;</p><p> WHEN 056=> W <=31;</p><p> WHEN 057=> W <=27;</p><p> WHEN
81、058=> W <=23;</p><p> WHEN 059=> W <=19;</p><p> WHEN 060=> W <=15;</p><p> WHEN 061=> W <=11;</p><p> WHEN 062=> W <=7;</p>&l
82、t;p> WHEN 063=> W <=3;</p><p> WHEN 064=> W <=3;</p><p> WHEN 065=> W <=7;</p><p> WHEN 066=> W <=11;</p><p> WHEN 067=> W <=15;&l
83、t;/p><p> WHEN 068=> W <=19;</p><p> WHEN 069=> W <=23;</p><p> WHEN 070=> W <=27;</p><p> WHEN 071=> W <=31;</p><p> WHEN 072=&g
84、t; W <=35;</p><p> WHEN 073=> W <=39;</p><p> WHEN 074=> W <=43;</p><p> WHEN 075=> W <=47;</p><p> WHEN 076=> W <=51;</p><p&g
85、t; WHEN 077=> W <=55;</p><p> WHEN 078=> W <=59;</p><p> WHEN 079=> W <=63;</p><p> WHEN 080=> W <=67;</p><p> WHEN 081=> W <=71;<
86、/p><p> WHEN 082=> W <=75;</p><p> WHEN 083=> W <=79;</p><p> WHEN 084=> W <=83;</p><p> WHEN 085=> W <=87;</p><p> WHEN 086=>
87、 W <=91;</p><p> WHEN 087=> W <=95;</p><p> WHEN 088=> W <=99;</p><p> WHEN 089=> W <=103;</p><p> WHEN 090=> W <=107;</p><p&g
88、t; WHEN 091=> W <=111;</p><p> WHEN 092=> W <=115;</p><p> WHEN 093=> W <=119;</p><p> WHEN 094=> W <=123;</p><p> WHEN 095=> W <=127
89、;</p><p> WHEN 096=> W <=131;</p><p> WHEN 097=> W <=135;</p><p> WHEN 098=> W <=139;</p><p> WHEN 099=> W <=143;</p><p> WHEN
90、 100=> W <=147;</p><p> WHEN 101=> W <=151;</p><p> WHEN 102=> W <=155;</p><p> WHEN 103=> W <=159;</p><p> WHEN 104=> W <=163;</p&
91、gt;<p> WHEN 105=> W <=167;</p><p> WHEN 106=> W <=171;</p><p> WHEN 107=> W <=175;</p><p> WHEN 108=> W <=179;</p><p> WHEN 109=>
92、; W <=183;</p><p> WHEN 110=> W <=187;</p><p> WHEN 111=> W <=191;</p><p> WHEN 112=> W <=195;</p><p> WHEN 113=> W <=199;</p><
93、;p> WHEN 114=> W <=203;</p><p> WHEN 115=> W <=207;</p><p> WHEN 116=> W <=211;</p><p> WHEN 117=> W <=215;</p><p> WHEN 118=> W <
94、=219;</p><p> WHEN 119=> W <=223;</p><p> WHEN 120=> W <=227;</p><p> WHEN 121=> W <=231;</p><p> WHEN 122=> W <=235;</p><p>
95、WHEN 123=> W <=239;</p><p> WHEN 124=> W <=243;</p><p> WHEN 125=> W <=247;</p><p> WHEN 126=> W <=251;</p><p> WHEN 127=> W <=255;<
96、;/p><p><b> END CASE;</b></p><p> IF A='0' THEN DOUT <= W;</p><p><b> ELSE </b></p><p> DOUT <= D;</p><p><b>
97、 END IF;</b></p><p> END PROCESS;</p><p><b> END;</b></p><p> 這次EDA課程設(shè)計歷時兩個星期,在整整兩個星期的日子里,可以說是苦多于甜,但是可以學(xué)的到很多很多的東西,同時不僅可以鞏固以前所學(xué)過的知識,而且學(xué)到了很多在書本上所沒有學(xué)到過的知識。通過這次設(shè)計,進
98、一步加深了對EDA的了解,讓我對它有了更加濃厚的興趣。特別是當每一個子模塊編寫調(diào)試成功時,心里特別的開心。但是在編寫頂層文件的程序時,遇到了不少問題,特別是各元件之間的連接,以及信號的定義,總是有錯誤,在細心的檢查下,終于找出了錯誤和警告,排除困難后,程序編譯就通過了,心里終于舒了一口氣。</p><p> 其次,在連接各個模塊的時候一定要注意各個輸入、輸出引腳的線寬,因為每個線寬是不一樣的,只要讓各個線寬互相
99、匹配,才能得出正確的結(jié)果,否則,出現(xiàn)任何一點小的誤差就會導(dǎo)致整個文件系統(tǒng)的編譯出現(xiàn)錯誤提示,在器件的選擇上也有一定的技巧,只有選擇了合適當前電路所適合的器件,編譯才能得到完滿成功。</p><p> 通過這次課程設(shè)計使我懂得了理論與實際相結(jié)合是很重要的,只有理論知識是遠遠不夠的,只有把所學(xué)的理論知識與實踐相結(jié)合起來,從理論中得出結(jié)論,才能真正為社會服務(wù),從而提高自己的實際動手能力和獨立思考的能力。在設(shè)計的過程中
100、遇到問題,可以說得是困難重重,這畢竟第一次做的,難免會遇到過各種各樣的問題,同時在設(shè)計的過程中發(fā)現(xiàn)了自己的不足之處,對以前所學(xué)過的知識理解得不夠深刻,掌握得不夠牢固。</p><p> 總的來說,這次設(shè)計的數(shù)字秒表還是比較成功的,在設(shè)計中遇到了很多問題,最后在老師的辛勤的指導(dǎo)下,終于游逆而解,有點小小的成就感,終于覺得平時所學(xué)的知識有了實用的價值,達到了理論與實際相結(jié)合的目的,不僅學(xué)到了不少知識,而且鍛煉了自己
溫馨提示
- 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
- 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
- 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
- 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
- 5. 眾賞文庫僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責。
- 6. 下載文件中如有侵權(quán)或不適當內(nèi)容,請與我們聯(lián)系,我們立即糾正。
- 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。
最新文檔
- 正弦波信號發(fā)生器課程設(shè)計
- dsp課程設(shè)計---正弦波信號發(fā)生器報告
- dsp課程設(shè)計---正弦波信號發(fā)生器
- 正弦波發(fā)生器課程設(shè)計
- 基于dsp的正弦波信號發(fā)生器
- 基于fpga的正弦波信號發(fā)生器設(shè)計
- 基于fpga的dds正弦波信號發(fā)生器設(shè)計【開題報告】
- 基于dsp正弦波發(fā)生器課程設(shè)計
- 正弦波-方波-三角波信號發(fā)生器設(shè)計
- 正弦波調(diào)制信號發(fā)生器設(shè)計-畢業(yè)論文
- 畢業(yè)設(shè)計231正弦波信號發(fā)生器完整
- eda課程設(shè)計報告---正弦函數(shù)信號發(fā)生器的設(shè)計
- 簡單信號發(fā)生器畢業(yè)設(shè)計--方波-三角波-正弦波信號發(fā)生器
- 畢業(yè)設(shè)計231正弦波信號發(fā)生器完整
- 單片機課程設(shè)計---正弦波發(fā)生器
- 頻率可調(diào)的正弦波發(fā)生器
- 畢業(yè)設(shè)計231正弦波信號發(fā)生器完整.doc
- dds正弦波信號發(fā)生器的實現(xiàn)【文獻綜述】
- eda課程設(shè)計——基于dds的正弦信號發(fā)生器設(shè)計
- 畢業(yè)設(shè)計231正弦波信號發(fā)生器完整.doc
評論
0/150
提交評論