課程設(shè)計(jì)-- 基于fpga的液晶顯示控制器設(shè)計(jì)_第1頁(yè)
已閱讀1頁(yè),還剩21頁(yè)未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、<p>  電子信息工程專業(yè) 專業(yè)綜合課程設(shè)計(jì)</p><p>  基于FPGA的液晶顯示控制器設(shè)計(jì)</p><p>  學(xué) 院(系): 信息與通信工程 </p><p>  專 業(yè): 電子信息工程 </p><p><b>  目 錄</b&

2、gt;</p><p>  1設(shè)計(jì)指標(biāo)及要求1</p><p>  1.1任務(wù)及要求1</p><p><b>  1.2設(shè)計(jì)原理1</b></p><p>  2系統(tǒng)硬件電路設(shè)計(jì)2</p><p>  2.1FPGA核心板2</p><p>  2.2液晶顯示部

3、分3</p><p>  2.3按鍵控制部分5</p><p><b>  3系統(tǒng)軟件設(shè)計(jì)5</b></p><p><b>  4系統(tǒng)調(diào)試6</b></p><p><b>  4.1硬件調(diào)試6</b></p><p><b>  

4、4.2軟件調(diào)試7</b></p><p><b>  5結(jié)論7</b></p><p><b>  6參考文獻(xiàn)7</b></p><p>  附錄一 系統(tǒng)硬件電路圖(原理圖,PCB)8</p><p>  附錄二 實(shí)物照片9</p><p>  附錄三

5、系統(tǒng)完整程序代碼9</p><p><b>  1設(shè)計(jì)指標(biāo)及要求</b></p><p><b>  1.1任務(wù)及要求</b></p><p>  采用FPGA技術(shù)實(shí)現(xiàn)液晶的顯示功能,要求學(xué)生選好液晶芯片,畫出電路板,做好硬件電路后調(diào)試程序,基本功能要求顯示字符,擴(kuò)展功能是顯示動(dòng)畫。</p><p&g

6、t;<b>  1.2設(shè)計(jì)原理</b></p><p>  顯示控制模塊是通過對(duì)FPGA芯片的編程,在此同時(shí)通過調(diào)用顯示ROM文件中的字庫(kù),找到相應(yīng)的二進(jìn)制顯示代碼,再一條一條地送到顯示驅(qū)動(dòng)電路中,最后經(jīng)過放大,送到點(diǎn)陣中的液晶去顯示。</p><p>  系統(tǒng)的整體框圖如下圖所示:</p><p><b>  2系統(tǒng)硬件電路設(shè)計(jì)&l

7、t;/b></p><p>  2.1FPGA核心板</p><p><b>  2.2液晶顯示部分</b></p><p>  12864各引腳的功能如下:</p><p>  引腳號(hào) 引腳名稱 引腳功能描述 </p><p>  1

8、 VSS 電源地</p><p>  2 VDD 電源電壓 </p><p>  3 V0 LCD驅(qū)動(dòng)負(fù)電壓,調(diào)節(jié)LCD對(duì)比度</p><p>  4 RS H:DB0-DB7為顯示數(shù)據(jù) L

9、: DB0-DB7為指令數(shù)據(jù)5 R/W R/W=H,E=H數(shù)據(jù)被讀到DB0-DB7</p><p>  R/W=L,E=H DB0-DB7數(shù)據(jù)寫到IR或DR6 E 使能信號(hào):R/W=L,E信號(hào)下降沿鎖存到DB0-DB7; R/W=H,E=H,DDRAM數(shù)

10、據(jù)讀到DB0-DB77 DB0~DB7 8位三態(tài)并行數(shù)據(jù)總線</p><p>  8 PSB PSB=H時(shí)為并行輸入方式,PSB=L時(shí)為串行輸入方式</p><p>  9 RST 復(fù)位端,低電平有效 </p><p>  12864的信號(hào)功能

11、表如下圖所示:</p><p>  12864的工作時(shí)序如下圖所示:</p><p><b>  2.3按鍵控制部分</b></p><p>  按鍵連接電路如下圖所示:</p><p>  按鍵分別連接著FPGA芯片的I/O口,通過撥動(dòng)按鍵使液晶顯示不同的字符。</p><p><b>

12、;  3系統(tǒng)軟件設(shè)計(jì) </b></p><p>  程序流程圖如下圖所示:</p><p><b>  4系統(tǒng)調(diào)試</b></p><p>  調(diào)試分為硬件調(diào)試和軟件調(diào)試。</p><p><b>  4.1硬件調(diào)試</b></p><p>  1、上電之前,用萬

13、用表測(cè)試電源與地未短路。</p><p>  2、上電接通電路,指示燈正常點(diǎn)亮。</p><p>  3、但12864液晶屏背光燈點(diǎn)亮,卻未出現(xiàn)正常陰影,將12864反插接入電路,液晶屏點(diǎn)亮,出現(xiàn)正常陰影,檢查PCB及原理圖發(fā)現(xiàn)12864引腳并未接錯(cuò),于是參考已有12864的多種電路接法,更改板子上的12864電路,問題卻仍得不到解決,最終發(fā)現(xiàn)是所用12864液晶屏自帶電路已默認(rèn)選擇串行數(shù)

14、據(jù)通信,而我們所采用的電路都是并行數(shù)據(jù)通信電路,將12864液晶屏自帶電路改焊,液晶屏正常點(diǎn)亮。原先設(shè)計(jì)12864電路所加的用于調(diào)節(jié)液晶屏對(duì)比度的滑動(dòng)變阻器,實(shí)際使用時(shí),發(fā)現(xiàn)并未起作用,故將其去掉。</p><p>  4、向板子內(nèi)燒錄簡(jiǎn)單的顯示程序,12864液晶屏正常顯示出編寫的內(nèi)容。至此,硬件調(diào)試完成。</p><p><b>  4.2軟件調(diào)試</b><

15、/p><p>  1、先根據(jù)整體思路確定了軟件采用狀態(tài)機(jī)的方式編寫。然后查閱了12864液晶屏的使用手冊(cè)以及EP4CE6E22芯片使用手冊(cè),確定了基本的操作要求,并根據(jù)要求進(jìn)行了12864讀數(shù)據(jù)寫數(shù)據(jù)的程序編寫,建成工程,完成編譯,燒錄進(jìn)芯片,上電后,正確顯示成功,完成了初步調(diào)試。</p><p>  2、畫出了程序框圖,然后將各部細(xì)節(jié)補(bǔ)充出來。</p><p>  3

16、、正確的延時(shí)對(duì)于12864液晶屏的正確顯示至關(guān)重要。要想延時(shí)正確就得先解決時(shí)序的問題,我們通過借鑒以往的學(xué)習(xí)EDA時(shí)所做的實(shí)驗(yàn),直接套用了一個(gè)典型分頻程序,解決了該問題。</p><p>  4、在程序里延時(shí)的正確使用是一大難點(diǎn),因?yàn)閂HDL語言仿真性極強(qiáng),其獨(dú)有的延時(shí)語句,多數(shù)只支持仿真狀態(tài),綜合設(shè)計(jì)時(shí)并不能通過編譯,無法實(shí)現(xiàn),我們嘗試了諸如for、loop、wait until、wait on等語句都未能正常

17、編譯通過,最后采用if語句,計(jì)數(shù)延時(shí)才得以正確延時(shí)。</p><p>  5、最終,我們經(jīng)過多次刪改,基本完成了預(yù)期要實(shí)現(xiàn)的設(shè)計(jì)目標(biāo)。</p><p><b>  5結(jié)論</b></p><p>  本設(shè)計(jì)硬件電路的使用比較簡(jiǎn)單,所用元器件較少,電路中使用了FPGA芯片和LCD12864液晶顯示器等主要芯片,實(shí)現(xiàn)了預(yù)計(jì)的功能。在對(duì)芯片的管腳功能

18、和用法有了充分的了解后,根據(jù)原先的選擇好的設(shè)計(jì)要求設(shè)計(jì)硬件電路,包括FPGA控制電路、按鍵接口電路、顯示電路。然后通過軟件編程,實(shí)現(xiàn)了用按鍵進(jìn)行控制,用液晶模塊進(jìn)行顯示,基本完成了預(yù)期要實(shí)現(xiàn)的目標(biāo)。</p><p><b>  6參考文獻(xiàn)</b></p><p>  [1] 潘松, 黃繼業(yè). EDA技術(shù)實(shí)用教程——VHDL版[M]. 第四版. 北京:科學(xué)出版社, 20

19、10.</p><p>  [2] 趙俊超等. 集成電路設(shè)計(jì)VHDL教程[M]. 北京 :北京希望電子出版社, 2009.</p><p>  [3] 李維是, 郭強(qiáng). 液晶顯示應(yīng)用技術(shù)[M]. 北京:電子工業(yè)出版社, 2011.</p><p>  附錄一 系統(tǒng)硬件電路圖(原理圖,PCB)</p><p><b>  附

20、錄二 實(shí)物照片</b></p><p>  附錄三 系統(tǒng)完整程序代碼</p><p>  -------------12864液晶的顯示----------- </p><p>  ----時(shí)鐘:50MHZ </p><p>  library ieee; </p><p>  use ieee.std_

21、logic_1164.all; </p><p>  use ieee.std_logic_unsigned.all; </p><p>  entity lcd12864 is </p><p>  generic( </p><p>  divide_500k:integer:=100;--100分

22、頻后:500KHZ:2us </p><p>  cnt1_value:integer:=50 </p><p><b>  ); </b></p><p><b>  port( </b></p><p>  clk,reset:in std_logic;</p><p>

23、;  key:in std_logic_vector(1 downto 0);</p><p>  rs,rw,en:out std_logic; </p><p>  data:out std_logic_vector(7 downto 0) </p><p><b>  ); </b></p><p>  end

24、 entity; </p><p>  architecture behavior of lcd12864 is </p><p>  type word is array(0 to 15) of std_logic_vector(7 downto 0);</p><p>  --數(shù)組中的左起第1個(gè)數(shù)為數(shù)組中的最低位對(duì)應(yīng)的數(shù),所以設(shè)置為array(0 to 3),

25、而不是array(3 downto 0)。 </p><p>  type state is( </p><p>  set_dlnf,set_cursor,set_dcb,set_shift,</p><p>  set_ddram1,set_ddram2,set_ddram3,set_ddram4,</p><p>  write_xu

26、exiao,write_zhuanye,write_mc,write_fh,</p><p><b>  over </b></p><p><b>  ); </b></p><p>  constant name1:word:=("10100001","11101111",&qu

27、ot;10110100","11110011","11000001","10101100","11000011","11110001","11010111","11100101","11010001","10100111","1101010

28、0","10111010","10100001","11101111");--大連民族大學(xué) </p><p>  constant name2:word:=("10100001","11101111","10110101","11100111","110

29、10111","11010011","11010000","11000101","11001111","10100010","10111001","10100100","10110011","11001100","10100001"

30、;,"11101111");--電子信息工程 </p><p>  constant name3:word:=("11001101","11110101","10111010","10110001","10100001","11101111","10100001&

31、quot;,"11101111","10100001","11101111","11001101","11110100","11010101","11010111","10111001","11111010");--李瑞</p><p>

32、;  constant name4:word:=("11010111","10100011","11000000","11001111","11001010","10100110","10111101","11011010","11001000","

33、11010101","10111111","11101100","11000000","11010110","10100011","10100001");--祝老師節(jié)日快樂</p><p>  constant name5:word:=("11010111",&qu

34、ot;10100011","11000000","11001111","11001010","10100110","11001001","11101101","11001100","11100101","10111101","1010000

35、1","10111111","10110101","10100011","10100001");--祝老師身體健康</p><p>  constant name6:word:=("11010111","10100011","11000000","1100

36、1111","11001010","10100110","11001101","11110010","11001010","11000010","11001000","11100111","11010010","11100010"

37、,"10100011","10100001");--祝老師萬事如意</p><p>  constant name7:word:=("11010111","10100011","11000000","11001111","11001010","10100110&qu

38、ot;,"10111010","11001111","10111100","11010010","11010000","11010010","10111000","10100011","10100011","10100001");--祝老師

39、闔家幸福</p><p>  signal pr_state:state; </p><p>  signal newclk:std_logic; </p><p><b>  begin </b></p><p>  process(clk) is </p><p>  variable n

40、um:integer range 0 to divide_500k; </p><p><b>  begin </b></p><p>  if(clk'event and clk='1')then </p><p>  num:=num+1; </p><p>  if(num=divi

41、de_500k) then </p><p><b>  num:=0; </b></p><p><b>  end if; </b></p><p>  if(num<divide_500k/2) then--設(shè)置占空比</p><p>  newclk<='0';

42、 </p><p>  else newclk<='1'; </p><p>  end if; </p><p><b>  end if; </b></p><p>  end process; </p><p>  process(newclk,reset,pr

43、_state,key) is </p><p>  variable cnt1:integer range 0 to 100*cnt1_value:=0; </p><p>  variable cnt2:integer range 0 to 100:=0; </p><p><b>  begin </b></p><

44、p>  if reset='0' then</p><p>  pr_state<=set_dlnf;--把狀態(tài)set_dlnf賦于pr_state </p><p><b>  cnt1:=0; </b></p><p><b>  cnt2:=0;</b></p><p

45、><b>  en<='0';</b></p><p><b>  rs<='0';</b></p><p><b>  rw<='0';</b></p><p>  data<="00000001";-

46、-清屏</p><p><b>  en<='1'; </b></p><p>  elsif(newclk'event and newclk='1') then </p><p>  case pr_state is</p><p>  when set_dlnf=&

47、gt; </p><p>  cnt1:=cnt1+1; </p><p>  if(cnt1<cnt1_value) then </p><p>  en<='0'; </p><p>  rs<='0'; --命令</p><p>  rw<=

48、'0'; --寫</p><p>  elsif(cnt1<2*cnt1_value) then </p><p>  data<="00110000"; --選擇8位數(shù)據(jù)基本指令集:0x30 </p><p>  elsif(cnt1<20*cnt1_value) then </p&

49、gt;<p>  en<='1'; </p><p>  elsif(cnt1=20*cnt1_value) then </p><p><b>  en<='0'; </b></p><p>  cnt1:=0; </p><p>  pr_state<

50、;=set_cursor; </p><p><b>  end if; </b></p><p>  when set_cursor=> </p><p>  cnt1:=cnt1+1; </p><p>  if(cnt1<cnt1_value) then </p><p>  

51、en<='0'; </p><p>  elsif(cnt1<2*cnt1_value) then </p><p>  data<="00001100"; --整體顯示設(shè)置:光標(biāo)OFF 反光0FF:0x0c </p><p>  elsif(cnt1<20*cnt1_value) then &

52、lt;/p><p>  en<='1'; </p><p>  elsif(cnt1=20*cnt1_value) then </p><p><b>  en<='0'; </b></p><p>  cnt1:=0; </p><p>  pr_st

53、ate<=set_dcb; </p><p><b>  end if; </b></p><p>  when set_dcb=> </p><p>  cnt1:=cnt1+1; </p><p>  if(cnt1<cnt1_value) then </p><p>

54、  en<='0'; </p><p>  elsif(cnt1<2*cnt1_value) then </p><p>  data<="00000001"; --清除顯示,并且認(rèn)定地址指針為00h:0x01 </p><p>  elsif(cnt1<20*cnt1_value) then

55、</p><p>  en<='1'; </p><p>  elsif(cnt1=20*cnt1_value) then </p><p><b>  en<='0'; </b></p><p>  cnt1:=0; </p><p>  pr_s

56、tate<=set_shift; </p><p><b>  end if; </b></p><p>  when set_shift=> </p><p>  cnt1:=cnt1+1; </p><p>  if(cnt1<cnt1_value) then </p><

57、p>  en<='0'; </p><p>  elsif(cnt1<2*cnt1_value) then </p><p>  data<="00000110"; --指定游標(biāo)的移動(dòng)方向及指定顯示的移位:0x06 </p><p>  elsif(cnt1<20*cnt1_value)

58、then </p><p>  en<='1'; </p><p>  elsif(cnt1=20*cnt1_value) then </p><p><b>  en<='0'; </b></p><p>  cnt1:=0; </p><p>

59、  pr_state<=set_ddram1; </p><p><b>  end if;</b></p><p>  when set_ddram1=> </p><p>  cnt1:=cnt1+1; </p><p>  if(cnt1<cnt1_value) then </p>

60、<p>  en<='0'; </p><p>  elsif(cnt1<2*cnt1_value) then </p><p>  data<="10000000"; --從第一行的第一個(gè)字開始顯示:0x80 </p><p>  elsif(cnt1<20*cnt1_value

61、) then </p><p>  en<='1'; </p><p>  elsif(cnt1=20*cnt1_value) then </p><p><b>  en<='0'; </b></p><p>  cnt1:=0; </p><p&

62、gt;  pr_state<=write_xuexiao;</p><p><b>  end if;</b></p><p>  when write_xuexiao=> </p><p>  cnt1:=cnt1+1; </p><p>  if cnt1<1*cnt1_value then &l

63、t;/p><p>  en<='0'; </p><p>  rs<='1'; ------------選擇數(shù)據(jù)</p><p>  rw<='0'; </p><p>  elsif cnt1<2*cnt1_value then<

64、;/p><p>  if key="00" then data<=name1(cnt2) ; ------------送數(shù)據(jù)</p><p>  elsif key="01" then data<=name1(cnt2);</p><p>  elsif key="10" then data&l

65、t;=name3(cnt2);</p><p>  elsif key="11" then data<=name4(cnt2);</p><p><b>  end if;</b></p><p>  elsif cnt1<20*cnt1_value then </p><p>  en

66、<='1'; -----在上升沿時(shí),數(shù)據(jù)才能寫入液晶,所以要先送數(shù)據(jù),再讓使能端變高</p><p>  elsif cnt1=20*cnt1_value then </p><p>  en<='0'; -----通過液晶的時(shí)序圖知:使能端先低,送數(shù)據(jù),使能端升高,再變低。</p>

67、<p>  cnt1:=0; </p><p>  cnt2:=cnt2+1; </p><p><b>  if </b></p><p><b>  cnt2=16 </b></p><p>  then </p><p> 

68、 pr_state<=set_ddram2; </p><p>  cnt1:=0; </p><p><b>  cnt2:=0; </b></p><p><b>  end if; </b></p><p><b>  end if;</b></p&g

69、t;<p>  when set_ddram2=></p><p>  cnt1:=cnt1+1;</p><p><b>  en<='0';</b></p><p><b>  rw<='0';</b></p><p><b

70、>  rs<='0';</b></p><p>  if(cnt1<cnt1_value) then </p><p>  en<='0'; </p><p>  elsif(cnt1<2*cnt1_value) then </p><p>  data<=&

71、quot;10010000"; --從第二行的第一個(gè)字開始顯示:0x80 </p><p>  elsif(cnt1<20*cnt1_value) then </p><p>  en<='1'; </p><p>  elsif(cnt1=20*cnt1_value) then </p><p&g

72、t;<b>  en<='0'; </b></p><p>  cnt1:=0; </p><p>  pr_state<=write_zhuanye; </p><p><b>  end if;</b></p><p>  when write_zhuanye=&g

73、t; </p><p>  cnt1:=cnt1+1; </p><p>  if cnt1<1*cnt1_value then </p><p>  en<='0'; </p><p>  rs<='1'; ------------選擇數(shù)據(jù)</p

74、><p>  rw<='0'; </p><p>  elsif cnt1<2*cnt1_value then </p><p>  if key="00" then data<=name2(cnt2); ------------送數(shù)據(jù)</p><p>  elsif key=

75、"01" then data<=name1(cnt2);</p><p>  elsif key="10" then data<=name3(cnt2);</p><p>  elsif key="11" then data<=name5(cnt2);</p><p><b> 

76、 end if;</b></p><p>  elsif cnt1<20*cnt1_value then </p><p>  en<='1'; -----在上升沿時(shí),數(shù)據(jù)才能寫入液晶,所以要先送數(shù)據(jù),再讓使能端變高</p><p>  elsif cnt1=20*cnt1_value t

77、hen </p><p>  en<='0'; -----通過液晶的時(shí)序圖知:使能端先低,送數(shù)據(jù),使能端升高,再變低。</p><p>  cnt1:=0; </p><p>  cnt2:=cnt2+1; </p><p><b>  if </b></p>

78、<p><b>  cnt2=16</b></p><p>  then </p><p>  pr_state<=set_ddram3; </p><p>  cnt1:=0; </p><p><b>  cnt2:=0; </b><

79、;/p><p><b>  end if; </b></p><p><b>  end if;</b></p><p>  when set_ddram3=></p><p>  cnt1:=cnt1+1;</p><p><b>  en<='

80、;0';</b></p><p><b>  rw<='0';</b></p><p><b>  rs<='0';</b></p><p>  if(cnt1<cnt1_value) then </p><p>  en<

81、='0'; </p><p>  elsif(cnt1<2*cnt1_value) then </p><p>  data<="10001000"; --從第三行的第一個(gè)字開始顯示:0x80 </p><p>  elsif(cnt1<20*cnt1_value) then </p>

82、<p>  en<='1'; </p><p>  elsif(cnt1=20*cnt1_value) then </p><p><b>  en<='0'; </b></p><p>  cnt1:=0; </p><p>  pr_state<=wri

83、te_mc; </p><p><b>  end if;</b></p><p>  when write_mc=> </p><p>  cnt1:=cnt1+1; </p><p>  if cnt1<1*cnt1_value then </p><p>  en<=&#

84、39;0'; </p><p>  rs<='1'; ------------選擇數(shù)據(jù)</p><p>  rw<='0'; </p><p>  elsif cnt1<2*cnt1_value then </p><p>  if key=&

85、quot;00" then data<=name3(cnt2); ------------送數(shù)據(jù)</p><p>  elsif key="01" then data<=name1(cnt2);</p><p>  elsif key="10" then data<=name3(cnt2);</p>

86、;<p>  elsif key="11" then data<=name6(cnt2);</p><p><b>  end if;</b></p><p>  elsif cnt1<20*cnt1_value then </p><p>  en<='1';

87、 -----在上升沿時(shí),數(shù)據(jù)才能寫入液晶,所以要先送數(shù)據(jù),再讓使能端變高</p><p>  elsif cnt1=20*cnt1_value then </p><p>  en<='0'; -----通過液晶的時(shí)序圖知:使能端先低,送數(shù)據(jù),使能端升高,再變低。</p><p>  cnt1:=0; &

88、lt;/p><p>  cnt2:=cnt2+1; </p><p><b>  if </b></p><p><b>  cnt2=16</b></p><p>  then </p><p>  pr_state<=set_ddram4;

89、 </p><p>  cnt1:=0; </p><p><b>  cnt2:=0; </b></p><p><b>  end if; </b></p><p><b>  end if;</b></p><p>  when set_ddr

90、am4=></p><p>  cnt1:=cnt1+1;</p><p><b>  en<='0';</b></p><p><b>  rw<='0';</b></p><p><b>  rs<='0';<

91、;/b></p><p>  if(cnt1<cnt1_value) then </p><p>  en<='0'; </p><p>  elsif(cnt1<2*cnt1_value) then </p><p>  data<="10011000"; --從

92、第四行的第一個(gè)字開始顯示:0x80 </p><p>  elsif(cnt1<20*cnt1_value) then </p><p>  en<='1'; </p><p>  elsif(cnt1=20*cnt1_value) then </p><p><b>  en<='0&#

93、39;; </b></p><p>  cnt1:=0; </p><p>  pr_state<=write_fh; </p><p><b>  end if;</b></p><p>  when write_fh=> </p><p>  cnt1:=cnt1+1

94、; </p><p>  if cnt1<1*cnt1_value then </p><p>  en<='0'; </p><p>  rs<='1'; ------------選擇數(shù)據(jù)</p><p>  rw<='0';

95、</p><p>  elsif cnt1<2*cnt1_value then </p><p>  if key="00" then data<=name4(cnt2); ------------送數(shù)據(jù)</p><p>  elsif key="01" then data<=name1(cn

96、t2);</p><p>  elsif key="10" then data<=name3(cnt2);</p><p>  elsif key="11" then data<=name7(cnt2);</p><p><b>  end if;</b></p><p&g

97、t;  elsif cnt1<20*cnt1_value then </p><p>  en<='1'; -----在上升沿時(shí),數(shù)據(jù)才能寫入液晶,所以要先送數(shù)據(jù),再讓使能端變高</p><p>  elsif cnt1=20*cnt1_value then </p><p>  en<=&

98、#39;0'; -----通過液晶的時(shí)序圖知:使能端先低,送數(shù)據(jù),使能端升高,再變低。</p><p>  cnt1:=0; </p><p>  cnt2:=cnt2+1; </p><p><b>  if </b></p><p><b>  cnt2=16</b>&l

99、t;/p><p>  then </p><p>  pr_state<=over; </p><p>  cnt1:=0; </p><p><b>  cnt2:=0; </b></p><p><b>  end if; </b>&l

100、t;/p><p><b>  end if;</b></p><p>  when over=> </p><p><b>  null; </b></p><p>  when others=> </p><p><b>  en<='

101、Z'; </b></p><p><b>  rs<='Z'; </b></p><p>  rw<='Z'; </p><p>  data<=(others=>'Z'); </p><p><b>  cnt1:

102、=0; </b></p><p><b>  cnt2:=0; </b></p><p>  end case; </p><p><b>  end if;</b></p><p>  end process; </p><p>  end architec

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 眾賞文庫(kù)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論